首页> 外文会议>Great lakes symposium on VLSI >Dominant Critical Gate Identification for Power and Yield Optimization in Logic Circuits
【24h】

Dominant Critical Gate Identification for Power and Yield Optimization in Logic Circuits

机译:逻辑电路中电力和产量优化的主导临界栅极识别

获取原文

摘要

With increasing process variations, 1ow-Vt swapping is an effective technique that can be used to improve timing yield without having to modify a design following placement and routing. Gate criticality, defined as the probability that a gate lies on a critical path, forms the basis for existing low-Vr swapping techniques. This paper presents a simulation-based study that challenges the effectiveness of 1ow-Vt swapping based on the conventional definition of gate criticality, especially as random process variations increase with technology scaling. We introduce dominant gate criticality to address the drawbacks of the conventional definition of gate criticality, and formulate dominant critical gate ranking in the presence of process variations as an optimization problem. Simulation results for 12 benchmark circuits from the ISC AS and OpenSPARC suites to achieve timing yields of 95% and 98% indicate that low-Vr swapping based on dominant gate criticality reduces leakage power overhead by 61% and 42% for independent and correlated process variations, respectively, over low-V_T swapping based on conventional gate criticality.
机译:随着过程变化的增加,1W-VT交换是一种有效的技术,可用于提高定时产量,而无需修改放置和路由后的设计。栅极临界性,定义为门位于关键路径上的概率,形成现有的低VR交换技术的基础。本文提出了一种基于模拟的研究,挑战了基于栅极关键性的传统定义的1W-VT交换的有效性,特别是随机过程变化随技术缩放而增加。我们引入了主导的栅极临界性来解决栅极临界性的传统定义的缺点,并在处理变化的存在中制定主要的临界门等级作为优化问题。从ISC的12个基准电路的仿真结果为ASC和OpenSPARC套件实现95%和98%的时间产量,表明基于主导栅极临界的低VR交换将漏电功率开销减少了61%和42%,对于独立和相关的过程变化分别基于常规栅极临界性的低V_T交换。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号