掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Great lakes symposium on VLSI
Great lakes symposium on VLSI
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A systolic algorithm and architecture for image thinning
机译:
一种收缩算法和图像变薄的架构
作者:
Ranganathan N.
;
Doreswamy K.B.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
2.
An efficient heuristic approach on minimizing the number of feedthrough cells in standard cell placement
机译:
最小化标准电池放置中馈通细胞数量的高效启发式方法
作者:
Jin-Tai Yan
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
3.
A protocol extraction strategy for control point insertion in design for test of transition signaling circuits
机译:
转换信令电路测试设计中控制点插入的协议提取策略
作者:
Li H.E.
;
Lam P.N.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
4.
Thumbnail rectilinear Steiner trees
机译:
缩略图直线施泰纳树
作者:
Ganley J.L.
;
Cohoon J.P.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
5.
A two-stage simulated annealing methodology
机译:
两级模拟退火方法
作者:
Varanelli J.M.
;
Cohoon J.P.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
6.
On locally optimal breaking of nondisjoint cyclic vertical constraints in VLSI channel routing
机译:
关于VLSI通道路由中非极其循环垂直约束的局部最佳破坏
作者:
Johnson A.D.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
7.
Estimating worst-case power consumption of CMOS circuits modeled as symbolic neural networks
机译:
估算作为象征性神经网络建模的CMOS电路的最坏情况的功耗
作者:
Macii E.
;
Poncino M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
8.
Performance driven standard-cell placement using the genetic algorithm
机译:
使用遗传算法进行性能驱动的标准单元展示
作者:
Youssef H.
;
Sait S.M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
9.
Improving self-timed pipeline ring performance through the addition of buffer loops
机译:
通过添加缓冲循环改善自定时管道环性能
作者:
Hai Zhao
;
Sabine N.M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
10.
Modeling of communication protocols in VHDL
机译:
VHDL中通信协议的建模
作者:
Assi A.
;
Kaminska B.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
11.
Circuit/architecture for low-power high-performance 32-bit adder
机译:
电路/架构低功耗高性能32位加法器
作者:
Abu-Khater I.S.
;
Bellaouar A.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
12.
Technology mapping algorithms for sequential circuits using look-up table based FPGAS
机译:
基于查找表FPGA的顺序电路技术映射算法
作者:
Habib S.
;
Quan Xu
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
13.
Statistical estimation of delay fault detectabilities and fault grading
机译:
延迟故障检测和故障分级的统计估算
作者:
Zaifu Zhang
;
McLeod R.D.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
14.
Pseudo-random behavioral ATPG
机译:
伪随机行为ATPG
作者:
Courbis A.-L.
;
Santucci J.-F.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
15.
OPRON: a new approach to planar OTC routing
机译:
OPRON:平面OTC路由的新方法
作者:
Danda S.
;
Madhwapathy S.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
16.
A differential model approach to analog design automation
机译:
模拟设计自动化的差分模型方法
作者:
Klein D.J.
;
Manwaring M.L.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
17.
A soft computing approach to hardware software codesign
机译:
硬件软件代码的软计算方法
作者:
Catania V.
;
Fiorito N.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
18.
Coding a terminated bus for low power
机译:
用于低功耗的端接总线
作者:
Stan M.R.
;
Burleson W.P.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
19.
A universal formalization of the effects of threshold voltages for discrete switch-level circuit models
机译:
用于离散开关电平电路模型的阈值电压影响的通用形式化
作者:
Korver W.H.F.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
20.
A scalable shared buffer ATM switch architecture
机译:
可扩展的共享缓冲区ATM交换机架构
作者:
Agrawal A.
;
Raju A.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
21.
Bus minimization and scheduling of multi-chip systems
机译:
多芯片系统的总线最小化和调度
作者:
Sheliga M.
;
Hsing-Mean Sha E.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
22.
A personal computer based VLSI design curriculum
机译:
基于个人计算机的VLSI设计课程
作者:
Leigh W.B.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
23.
Fast algorithm for performance-oriented Steiner routing
机译:
以性能为导向的施蒂纳路由的快速算法
作者:
Borah M.
;
Owens R.M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
24.
Test application time reduction for scan based sequential circuits
机译:
基于扫描的连续电路测试施加时间减少
作者:
Hao Zheng
;
Saluja K.K.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
25.
Synthesis of SEU-tolerant ASICs using concurrent error correction
机译:
使用并发误差校正合成SEU宽容的ASIC
作者:
Hollander H.
;
Carlson B.S.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
26.
Partitioning transition relations efficiently and automatically
机译:
经济和自动分区过渡关系
作者:
Zhou Z.
;
Song X.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
27.
ATM burst traffic generator
机译:
ATM突发交通发生器
作者:
Chu P.P.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
28.
Optimal technology mapping for single output cells
机译:
单输出单元的最佳技术映射
作者:
Hinsberger U.
;
Kolla R.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
29.
A scalable analog architecture for neural networks with on-chip learning and refreshing
机译:
具有片上学习和刷新的神经网络的可扩展模拟架构
作者:
Alhalabi B.A.
;
Bayoumi M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
30.
Using a reconfigurable field programmable gate array to demonstrate boundary scan with built in self test
机译:
使用可重配置的现场可编程门阵列来演示边界扫描,内置自检
作者:
Pottinger H.J.
;
Chien-Yuh Lin
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
31.
Design and manufacture of a 2K transistor p-well CMOS gate array in a student run factory at RIT
机译:
在RIT的学生运行工厂中的2K晶体管P井CMOS门阵列的设计与制造
作者:
Fuller L.F.
;
Kraaijenvanger C.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
32.
A local clocking approach for self-timed datapath designs
机译:
一种用于自定时数据路径设计的本地时钟方法
作者:
Kim S.
;
Sridhar R.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
33.
Symbolic execution of data paths
机译:
符号执行数据路径
作者:
Monahan C.
;
Brewer F.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
34.
A new approach for modeling and optimization of analog systems
机译:
模拟系统建模与优化的新方法
作者:
Penn E.
;
Schelovanov L.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
35.
Priority driven channel pin assignment
机译:
优先级驱动通道引脚分配
作者:
Peters I.
;
Molitor P.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
36.
Optimizing wiring space in slicing floorplans
机译:
优化切片平面平面平面的布线空间
作者:
Mowchenko J.T.
;
Yang Y.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
37.
Uniform area timing-driven circuit implementation
机译:
均匀区域时序驱动电路实现
作者:
Karayiannis D.
;
Tragoudas S.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
38.
Linking fabrication and parametric testing to VLSI design courses
机译:
将制造和参数测试连接到VLSI设计课程
作者:
Pearson R.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
39.
An efficient building block layout methodology for compact placement
机译:
紧凑型放置的高效构建块布局方法
作者:
Bourbakis N.G.
;
Mortazavi M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
40.
Optimization using implicit techniques for industrial designs
机译:
利用工业设计隐式技术优化
作者:
Poirot F.
;
Tarroux G.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
41.
Specification and synthesis of bounded indirection
机译:
有界间接的规范与综合
作者:
Tuna M.E.
;
Rath K.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
42.
A new look at the conditions for the synthesis of speed-independent circuits
机译:
新看速度独立电路的合成条件
作者:
Pastor E.
;
Cortadella J.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
43.
Using EDIF for software generation
机译:
使用EDIF用于软件生成
作者:
Van Der Westhuizen M.J.
;
Harley R.G.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
44.
Scheduling conditional data-flow graphs with resource sharing
机译:
调度具有资源共享的条件数据流图
作者:
Siddhiwala J.
;
Liang-Fang Chao
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
45.
Scan testing of asynchronous sequential circuits
机译:
扫描异步顺序电路的测试
作者:
Petlin O.A.
;
Furber S.B.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
46.
Automatic rapid prototyping of semi-custom VLSI circuits using Actel FPGAs
机译:
使用Actel FPGA自动快速原型设计半定制VLSI电路
作者:
Jae-Tack Yoo
;
Brunvand E.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
47.
Proceedings. Fifth Great Lakes Symposium on VLSI
机译:
诉讼程序。第五次大湖讨论会在VLSI上
作者:
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
48.
Analyzing and verifying locally clocked circuits with the concurrency workbench
机译:
用并发工作台分析和验证本地时钟电路
作者:
Baulch G.
;
Hemmendinger D.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
49.
Parallel hierarchical global routing for general cell layout
机译:
常规小区布局的并行分层全局路由
作者:
Khanna S.
;
Gao S.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
50.
Automated verification of temporal properties specified as state machines in VHDL
机译:
自动验证在VHDL中指定为状态机的时间特性
作者:
Hoskote Y.V.
;
Abraham J.A.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
51.
Index of Authors
机译:
作者指数
作者:
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
52.
Using symbolic Rademacher-Walsh spectral transforms to evaluate the correlation between Boolean functions
机译:
使用符号Rademacher-Walsh光谱变换来评估布尔函数之间的相关性
作者:
Macci E.
;
Poncino M.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
53.
Design and analysis of a low-power energy-recovery adder
机译:
低功耗能源恢复加法器的设计与分析
作者:
Tzartzanis N.
;
Athas W.C.
;
Institute of Electric and Electronic Engineer
会议名称:
《Great lakes symposium on VLSI》
|
1995年
54.
Performance and Energy Efficient Cache Migration Approach for Thermal Management in Embedded Systems
机译:
嵌入式系统中热管理的性能和节能缓存迁移方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
cache migration;
energy efficiency;
performance;
55.
A Low-offset High-speed Double-tail Dual-rail Dynamic Latched Comparator
机译:
低偏移高速双尾双轨动态锁存器
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
clocked comparator;
dynamic latched comparator;
low-offset low-power high-speed;
voltage sense amplifier (SA);
56.
Topology Impact on the Room Temperature Performance of THz-range Ballistic Deflection Transistors
机译:
拓扑对THZ范围弹道偏转晶体管室温性能的影响
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
nanodevices;
ballistic transport;
gate length;
angle effect;
dc experiments;
silvaco simulation;
57.
Design Considerations for Variation Tolerant Multilevel CMOS/Nano Memristor Memory
机译:
变形耐受多级CMOS /纳米忆阻器存储器的设计考虑因素
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
multilevel memories;
CMOS/Nano;
memristor;
58.
Algorithm and Hardware Complexity Reduction Techniques for K-best Sphere Decoders
机译:
K-Best Sphere解码器的算法和硬件复杂性减少技术
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
MIMO;
K-best;
sphere decoder;
VLSI;
odd-even merge;
WLAN;
WiMAX;
LTE;
multi-stage;
59.
Online Convex Optimization-Based Algorithm for Thermal Management of MPSoCs
机译:
基于在线凸优化的MPSOC热管理算法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
thermal management online convex optimization MPSOCs;
60.
VLSI Implementation of a Non-Linear Feedback Shift Register for High-Speed Cryptography Applications
机译:
VLSI实现高速加密应用的非线性反馈移位寄存器
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
NLFSR;
pseudo-random sequence;
stream cipher;
61.
An Effective Approach for Large Scale Floorplanning
机译:
大规模地板宁的有效方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
placement;
floorplanning;
62.
A Novel Droplet Routing Algorithm for Digital Microfluidic Biochips
机译:
一种用于数字微流体生物芯片的新型液滴路由算法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
microfluidics;
biochips;
layout;
placement and routing;
63.
Thermal-Aware Floorplanning Exploration for 3D Multi-Core Architectures-
机译:
3D多核架构的热意识地板勘探 -
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
temperature;
3D;
floorplanning;
MPSoC;
64.
Dominant Critical Gate Identification for Power and Yield Optimization in Logic Circuits
机译:
逻辑电路中电力和产量优化的主导临界栅极识别
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
process variations;
yield;
Low-V_T;
65.
Design of Embedded MRAM Macros for Memory-in-Logic Applications
机译:
内存内存应用程序嵌入式MRAM宏的设计
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
MRAM;
embedded;
thermally assisted switching (TAS);
low power;
system on chip (SOC);
non-volatile;
architecture;
66.
A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs
机译:
基于NOC的可重构系统设计的新颖设计框架
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
reconfigurable computing;
mapping algorithm;
design flow;
network-on-chip;
67.
Lightweight Runtime Control Flow Analysis for Adaptive Loop Caching
机译:
自适应环路缓存的轻量级运行时控制流程分析
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
loop cache;
low energy;
architecture tuning;
embedded systems;
68.
A Delay Measurement Method Using a Shrinking Clock Signal
机译:
使用缩小时钟信号的延迟测量方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
delay;
measurement;
edge placement accuracy;
tester;
69.
Energy-Efficient Redundant Execution for Chip Multiprocessors
机译:
芯片多处理器的节能冗余执行
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
transient faults;
permanent faults;
redundant execution;
microarchitecture;
70.
Manufacturing Yield of QCA Circuits by Synthesized DNA Self-Assembled Templates
机译:
合成DNA自组装模板通过合成DNA的QCA电路的制造产量
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
QCA;
DNA self-assembly;
nanoscale manufacturing;
71.
Improving the Testability and Reliability of Sequential Circuits with Invariant Logic
机译:
具有不变逻辑的顺序电路的可测试性和可靠性
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
online error detection;
test pattern reduction;
72.
Performance and Energy Trade-offs Analysis of L2 on-Chip Cache Architectures for Embedded MPSoCs
机译:
嵌入式MPSOC的L2片上缓存架构的性能和能量折衷分析
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
virtual platform;
multi-core;
L2 cache;
73.
Challenges and Methodologies for Efficient Power Budgeting across the Die
机译:
模具中有效功率预算的挑战和方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
microprocessor power budget management;
energy-efficient performance simulation;
74.
Performance-Constrained Template-Driven Retargeting for Analog and RF Layouts
机译:
用于模拟和射频布局的性能约束模板驱动的复序
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
algorithms;
75.
A Revisit to Voltage Partitioning Problem
机译:
重新审视电压分区问题
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
quasicovex assumption;
voltage partition;
76.
A Linear Statistical Analysis for Full-Chip Leakage Power with Spatial Correlation
机译:
空间相关性满芯片漏电的线性统计分析
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
algorithms;
77.
Gating Internal Nodes to Reduce Power During Scan Shift
机译:
在扫描换档期间,Gating内部节点降低功率
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
low power test;
scan shift power reduction;
gating internal nodes;
78.
A Self-Adaptive Scheduler for Asymmetric Multi-cores
机译:
非对称多核的自适应调度器
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
modeling;
power;
scheduling;
79.
Thermal-Aware Compilation for System-on-Chip Processing Architectures
机译:
用于系统片上处理架构的热感知汇编
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
thermal-aware;
compiler;
register-file;
80.
A Novel Resource Sharing Model and High-Level Synthesis for Delay Variability-Tolerant Datapaths
机译:
延迟变化宽度数据路径的新型资源共享模型和高级合成
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
datapath synthesis;
ordered clocking;
resource assignment;
81.
Dynamically Resizable Binary Decision Diagrams
机译:
动态可调整大小的二进制决策图
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
compact;
binary decision diagrams;
82.
Power-Efficient, Reliable Microprocessor Architectures: Modeling and Design Methods
机译:
节电,可靠的微处理器架构:建模与设计方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
power-efficient design;
reliable operation;
pre-silicon modeling;
83.
Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits
机译:
方法来实现更高的容差以延迟同步电路的变化
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
algorithms;
design;
84.
A Multi-Level Approach to Reduce the Impact of NBTI on Processor Functional Units
机译:
一种减少NBTI对处理器功能单元的影响的多级方法
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
reliability;
85.
Performance Enhancement of Subthreshold Circuits Using Substrate Biasing and Charge-Boosting Buffers
机译:
使用基板偏置和电荷升压缓冲器进行亚阈值电路的性能增强
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
subthreshold design;
biasing;
charge-boosters.;
86.
Timing-Driven Variation-Aware Nonuniform Clock Mesh Synthesis
机译:
时序驱动变异感知不均匀时钟网格合成
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
clock distribution;
non-tree clock networks;
clock mesh synthesis;
clock skew;
process variations;
power;
VLSI CAD;
physical design;
87.
Wirelength-driven Force-directed 3D FPGA Placement
机译:
Wirelength驱动的力量导向3D FPGA放置
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
placement;
3-D;
force-directed;
legalization;
partition;
SA;
88.
Fast Instruction Cache Modeling for Approximate Timed HW/SW Co-Simulation
机译:
近似定时HW / SW共模的快速指令缓存建模
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
cache modelling;
electronic system level;
systemC;
89.
Pattern Grading for Testing Critical Paths Considering Power Supply Noise and Crosstalk Using a Layout-Aware Quality Metric
机译:
测试关键路径的模式分级考虑使用布局感知质量指标的电源噪声和串扰
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
path delay test;
pattern grading;
power supply noise;
crosstalk;
signal integrity;
90.
Logic Synthesis for Low Power using Clock Gating and Rewiring
机译:
利用时钟门控和重新布线低功耗的逻辑合成
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
logic synthesis;
low power;
clock gating;
91.
Out-of-order Issue Logic using Sorting Networks
机译:
使用排序网络的无序问题逻辑
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
issue queue;
high speed circuits;
micro-architecture;
ILP;
out-of-order processing;
92.
Variation Tolerant 9T SRAM Cell Design
机译:
变异耐受9T SRAM CELL设计
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
static random access memory(SRAM);
embedded SRAM;
static noise margin;
process variations;
bitline leakage;
93.
Scalable Identification Of Threshold Logic Functions
机译:
阈值逻辑功能的可扩展识别
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
threshold logic gates;
94.
Collaborative Voltage Scaling with Online STA and Variable-Latency Datapath
机译:
具有在线STA和可变延迟数据路径的协作电压缩放
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
adaptive voltage scaling;
collaborative voltage scaling;
online STA;
variable-latency datapath;
95.
Resource-Constrained Timing-Driven Link Insertion for Critical Delay Reduction
机译:
资源受限的时序驱动链路插入临界延迟减少
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
non-tree;
link insertion;
critical delay;
96.
TURBONFS: Turbo Nand Flash Search
机译:
TurboNFS:Turbo Nand Flash搜索
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
NAND flash;
signature;
aliasing;
MISR;
97.
Context-Aware TLB Preloading for Interference Reduction in Embedded Multi-Tasked Systems
机译:
上下文感知TLB预加载嵌入式多任务系统中的干扰减少
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
TLB management;
real-time multi-processing;
98.
Low-Power Side-Channel Attack-Resistant Asynchronous S-Box Design for AES Cryptosystems
机译:
用于AES密码系统的低功耗侧通道抗攻击异步S盒设计
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
advanced encryption standard;
substitution box (s-box);
side-channel attacks (SCA);
differential power/noise analysis;
power/noise measurement;
null convention logic;
security;
99.
Circuit-Level NBTI Macro-Models for Collaborative Reliability Monitoring
机译:
用于协作可靠性监控的电路级NBTI宏模型
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
NBTI;
on-chip sensors;
macro-models;
calibration;
100.
The Challenges of Implementing Fine-Grained Power Gating
机译:
实施细粒型电力门控的挑战
会议名称:
《Great lakes symposium on VLSI》
|
2010年
关键词:
power gating;
leakage power minimization;
power modeling;
register-transfer-level;
analysis;
power management;
意见反馈
回到顶部
回到首页