首页> 外文学位 >Delay/power modeling and optimization techniques for low-power FinFET logic circuits and architectures.
【24h】

Delay/power modeling and optimization techniques for low-power FinFET logic circuits and architectures.

机译:低功耗FinFET逻辑电路和架构的延迟/功率建模和优化技术。

获取原文
获取原文并翻译 | 示例

摘要

Technology scaling has been one of the most fundamental ways to improve chip performance and reduce power consumption. However, as the industry dives deeper into the submicron regime, further scaling is encountering severe difficulties because the traditional MOSFET is facing atomistic and quantum-mechanical physics boundaries. It has become difficult to turn off the channel current due to short-channel effects (SCEs). This has led to the emergence of a 3D structure device, called FinFET. It has begun to replace traditional MOSFETs at 22nm and beyond due to its superior control over SCEs. This thesis explores the performance and power consumption of FinFET devices, from circuit to architecture level. It provides circuit designers with accurate FinFET models and simulators to evaluate their designs implemented with this new technology.;As power density increases, processor temperature problem arises. It becomes more severe under a manufacturing-time test environment in which power consumption is much higher than that in normal operation mode. We propose a thermal profiling framework for 2D and 3D FinFET circuits under the two most common testing scenarios, scan test and built-in self-test, as well as some of their low-power counterparts. We then compare results of those test methods and discuss the temperature impact.;Another urgent problem that needs to be addressed with continued technology scaling is how to analyze circuit performance and power consumption under process, voltage, and temperature (PVT) variations. Such variations arise due to limitations of lithography that lead to variations in the physical dimensions of the device or due to environmental variations. They can seriously impair the ratio of working chips among all chips fabricated, therefore reducing the profit. Besides accurate power and delay modeling for FinFET devices, this thesis also proposes statistical models to evaluate the power and delay deviations caused by PVT variations, taking into account spatial correlations. Based on the statistical models, it next presents GenFin, a multiobjective statistical FinFET logic circuit optimizer based on genetic algorithm (GA). It can simultaneously optimize timing, leakage power, and dynamic power yields through gate sizing. As opposed to traditional optimization tools that only provide one best solution, GenFin is able to produce a set of Pareto-optimal solutions to enable chip designers to make wise trade-offs. In the GenFin framework, we also propose an incremental timing analysis method as well as novel GA heuristics to speed up the analysis and optimization process.;We next discuss work on architectural modeling and analysis. Cache is one of the most important components of a processor. It occupies a large part of the chip and consumes a large portion of the total power. We present extensive results for caches composed of several types of FinFET SRAM cells and also study several low-power cache techniques. Then we introduce a design and simulation framework, called McPAT-PVT, for accurate and fast prediction of delay and power of FinFET-based processors under PVT variations. It supports various operation temperatures and frequencies, and can be applied to different processor configurations. We rigorously investigate area, delay, and power for various functional units and caches and also explore the timing and power yields of a FinFET processor based on the Alpha processor core. In addition, we present results for PARSEC real-traffic benchmarks for a chip multiprocessor architecture. Results show that processors implemented with asymmetric shorted-gate FinFET devices have the advantage of consuming far less power with little penalty in area or timing.
机译:技术扩展一直是提高芯片性能和降低功耗的最基本方法之一。但是,随着行业深入研究亚微米范围,由于传统的MOSFET面临原子和量子力学的物理边界,进一步的缩放正面临严重的困难。由于短沟道效应(SCE),关闭沟道电流变得很困难。这导致了称为FinFET的3D结构设备的出现。由于对SCE的出色控制,它已开始取代22nm及以上的传统MOSFET。本文探讨了从电路到体系结构级别的FinFET器件的性能和功耗。它为电路设计人员提供了精确的FinFET模型和仿真器,以评估采用这种新技术实现的设计。随着功率密度的增加,出现了处理器温度问题。在功耗远高于正常工作模式的制造时测试环境中,情况变得更加严峻。我们在两种最常见的测试方案(扫描测试和内置自测试)以及一些低功耗同类测试方案下,为2D和3D FinFET电路提出了一种热性能分析框架。然后,我们比较这些测试方法的结果并讨论温度的影响。持续的技术扩展需要解决的另一个紧迫问题是如何分析工艺,电压和温度(PVT)变化下的电路性能和功耗。这种变化是由于光刻技术的局限性而导致的,而光刻技术的局限性导致了设备物理尺寸的变化,或者由于环境的变化。它们会严重损害所有制造的芯片中工作芯片的比例,从而降低利润。除了对FinFET器件进行精确的功率和延迟建模外,本文还提出了统计模型,以考虑空间相关性来评估由PVT变化引起的功率和延迟偏差。接下来基于统计模型,介绍基于遗传算法(GA)的多目标统计FinFET逻辑电路优化器GenFin。通过门的尺寸调整,它可以同时优化时序,泄漏功率和动态功率。与仅提供最佳解决方案的传统优化工具相反,GenFin能够产生一组帕累托最优解决方案,以使芯片设计人员能够做出明智的权衡。在GenFin框架中,我们还提出了一种增量时序分析方法以及新颖的GA启发式算法,以加快分析和优化过程。;接下来,我们讨论架构建模和分析的工作。缓存是处理器最重要的组件之一。它占据了芯片的很大一部分,并且消耗了总功率的很大一部分。我们为由几种类型的FinFET SRAM单元组成的高速缓存提供了广泛的结果,并研究了几种低功耗高速缓存技术。然后,我们介绍了一种称为McPAT-PVT的设计和仿真框架,用于在PVT变化下准确快速地预测基于FinFET的处理器的延迟和功耗。它支持各种工作温度和频率,并可应用于不同的处理器配置。我们严格研究各种功能单元和缓存的面积,延迟和功耗,并探索基于Alpha处理器内核的FinFET处理器的时序和功率产出。此外,我们还介绍了针对多芯片架构的PARSEC实时流量基准测试的结果。结果表明,采用非对称短栅FinFET器件实现的处理器具有功耗低,面积或时序损失少的优势。

著录项

  • 作者

    Tang, Aoxiang.;

  • 作者单位

    Princeton University.;

  • 授予单位 Princeton University.;
  • 学科 Electrical engineering.;Computer engineering.;Engineering.
  • 学位 Ph.D.
  • 年度 2015
  • 页码 162 p.
  • 总页数 162
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号