掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)
20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
电子与信息学报
通信与信息技术
信息网络
信息空间
电信建设
舰船电子对抗
真空电器技术
电子设计应用
新潮电子
音响技术
更多>>
相关外文期刊
Advanced Functional Materials
ntz
Journal of the National Institute of Information and Communications Technology
Communications Markets Analysis
Printed Circuit Design
Active and Passive Electronic Components
International Journal of Satellite Communications and Networking
International journal of satellite communications policy and management
通信総合研究所季報
Circuits, systems, and signal processing
更多>>
相关中文会议
第一届有线电视产业发展论坛大会暨第八届全国有线电视技术研讨会(NCTC·2005)
上海市激光学会2013年学术年会
中国电子学会空间电子学年会
第十届全国电子束、离子束、光子束学术年会
第五届电子产品防护技术研讨会
第七届信息安全漏洞分析与风险评估大会
CWSN’2009第三届中国传感器网络学术会议
中国造船工程学会电子技术学术委员会2011年海战场电子信息技术学术年会
2000年全国微波测量会议
中国电子学会光电对抗与无源干扰专业委员会第十届学术年会
更多>>
相关外文会议
2nd international workshop on mobile opportunistic networking 2010
2014 4th Joint International Conference on Information and Communication Technology, Electronic and Electrical Engineering
International Conference on Cybernetics and Information Technologies, Systems and Applications(CITSA2004) and 10th International Conference on Information Systems Analysis and Synthesis(ISAS2004) vol.2
Fifth International Workshop on Information Retrieval With Asian Languages, Sep 30-Oct 2, 2000, Hong Kong
Visual Communications and Image Processing 2006 pt.1; Electronic Imaging Science and Technology
1995 international integrated reliability workshop final report
XIV International Conference on Microwaves, Radar and Wireless Communications MIKON-2002 Vol.2 May 20-22, 2002 Gdansk, Poland
2016 URSI Asia-Pacific Radio Science Conference
Conference on Terahertz for Military and Security Applications II; 20040412-20040413; Orlando,FL; US
Annual International Conference on Compound Semiconductor MANufacturing TECHnology(CS MANTECH); 20050411-14; New Orleans,LA(US)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Circuit-Level NBTI Macro-Models for Collaborative Reliability Monitoring
机译:
用于协作可靠性监控的电路级NBTI宏模型
作者:
Basab Datta
;
Wayne Burleson
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
NBTI;
on-chip sensors;
macro-models;
calibration;
2.
Manufacturing Yield of QCA Circuits by Synthesized DNA Self-Assembled Templates
机译:
利用合成的DNA自组装模板制造QCA电路的产量
作者:
Xiaojun Ma
;
Masoud Hashempour
;
Lei Wang
;
Fabrizio Lombardi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
QCA;
DNA self-assembly;
nanoscale manufacturing;
3.
Resource-Constrained Timing-Driven Link Insertion for Critical Delay Reduction
机译:
资源受限的时序驱动链接插入,可减少严重延迟
作者:
Jin-Tai Yan
;
Zhi-Wei Chen
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
non-tree;
link insertion;
critical delay;
4.
Online Convex Optimization-Based Algorithm for Thermal Management of MPSoCs
机译:
基于在线凸优化的MPSoC热管理算法
作者:
Francesco Zanini
;
David Atienza
;
Giovanni De Micheli
;
Stephen P. Boyd
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
thermal management online convex optimization MPSOCs;
5.
Stochastic Computational Models for Accurate Reliability Evaluation of Logic Circuits
机译:
逻辑电路精确可靠性评估的随机计算模型
作者:
Hao Chen
;
Jie Han
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
stochastic computation;
stochastic computational model;
fault tolerance;
reliability evaluation;
logic circuits;
6.
Enhancing Debugging of Multiple Missing Control Errors in Reversible Logic
机译:
增强可逆逻辑中多个丢失控制错误的调试
作者:
Jean Christoph Jung
;
Stefan Frehse
;
Robert Wille
;
Rolf Drechsler
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
debugging;
reversible logic;
boolean satisfiability(SAT);
7.
Design Considerations for Variation Tolerant Multilevel CMOS/Nano Memristor Memory
机译:
耐变化的多级CMOS /纳米忆阻器存储器的设计注意事项
作者:
Harika Manem
;
Garrett S. Rose
;
Xiaoli He
;
Wei Wang
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
multilevel memories;
CMOS/Nano;
memristor;
8.
Algorithm and Hardware Complexity Reduction Techniques for K-best Sphere Decoders
机译:
K最佳球面解码器的算法和降低硬件复杂度的技术
作者:
Nariman Moezzi-Madani
;
Thorlindur Thorolfsson
;
William Rhett Davis
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
MIMO;
K-best;
sphere decoder;
VLSI;
odd-even merge;
WLAN;
WiMAX;
LTE;
multi-stage;
9.
Boolean Satisfiability on a Graphics Processor
机译:
图形处理器上的布尔可满足性
作者:
Kanupriya Gulati
;
Sunil P. Khatri
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
boolean satisfiability;
GPGPU;
survey propagation;
10.
Power-Efficient, Reliable Microprocessor Architectures: Modeling and Design Methods
机译:
高效,可靠的微处理器架构:建模和设计方法
作者:
Pradip Bose
;
Alper Buyuktosunoglu
;
Chen-Yong Cher
;
John A. Darringer
;
Meeta S. Gupta
;
Hendrik Hamann
;
Hans Jacobson
;
Prabhakar N. Kudva
;
Eren Kursun
;
Niti Madan
;
Indira Nair
;
Jude A. Rivers
;
Jeonghee Shin
;
Alan J. Weger
;
Victor Zyuban
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
power-efficient design;
reliable operation;
pre-silicon modeling;
11.
Deterministic Broadside Test Generation for Transition Path Delay Faults
机译:
过渡路径延迟故障的确定性宽带测试生成
作者:
Bo Yao
;
Irith Pomeranz
;
Sudhakar M. Reddy
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
deterministic test generation;
broadside test;
path delay fault;
transition fault;
12.
Performance Enhancement of Subthreshold Circuits Using Substrate Biasing and Charge-Boosting Buffers
机译:
使用衬底偏置和电荷增强缓冲器提高亚阈值电路的性能
作者:
Sumanth Amarchinta
;
Dhireesha Kudithipudi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
subthreshold design;
biasing;
charge-boosters.;
13.
Performance and Energy Trade-offs Analysis of L2 on-Chip Cache Architectures for Embedded MPSoCs
机译:
嵌入式MPSoC的L2片上高速缓存架构的性能和能量折衷分析
作者:
Mohamed M. Sabry
;
Martino Ruggiero
;
Pablo G. Del Valle
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
virtual platform;
multi-core;
L2 cache;
14.
A Virtual Platform Environment for Exploring Power, Thermal and Reliability Management Control Strategies in High-performance Multicores
机译:
用于探索高性能多核中的电源,散热和可靠性管理控制策略的虚拟平台环境
作者:
Andrea Bartolini
;
Matteo Cacciari
;
Andrea Tilli
;
Luca Benini
;
Matthias Gries
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
resource management;
control system;
multicore;
15.
Challenges and Methodologies for Efficient Power Budgeting across the Die
机译:
整个芯片有效功率预算的挑战和方法
作者:
Pinkesh J Shah
;
Yoni Aizik
;
Muhammad Mhameed
;
Gila Kamhi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
microprocessor power budget management;
energy-efficient performance simulation;
16.
An Effective Approach for Large Scale Floorplanning
机译:
大规模平面布置的有效方法
作者:
Ameya R. Agnihotri
;
Satoshi Ono
;
Patrick H. Madden
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
placement;
floorplanning;
17.
A Delay Measurement Method Using a Shrinking Clock Signal
机译:
一种使用时钟信号缩减的延迟测量方法
作者:
Jae Wook Lee
;
Ji Hwan Chun
;
Jacob A. Abraham
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
delay;
measurement;
edge placement accuracy;
tester;
18.
On-Die Sensors for Measuring Process and Environmental Variations in Integrated Circuits
机译:
片上传感器,用于测量集成电路中的过程和环境变化
作者:
Kanak Agarwal
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
process variation;
test structure;
sensors;
environmental variation;
characterization;
monitors;
19.
Cost Aware Fault Tolerant Logic Synthesis in Presence of Soft Errors
机译:
存在软错误时的具有成本意识的容错逻辑综合
作者:
Xin He
;
Afshin Abdollahi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
soft error rate;
reliability;
linear programming;
20.
Performance Assessment of Analog Circuits with Carbon Nanotube FET (CNFET)
机译:
碳纳米管FET(CNFET)对模拟电路的性能评估
作者:
Janardhanan S. Ajit
;
Yong-Bin Kim
;
Minsu Choi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
analog;
circuits;
carbon nanotube;
FET;
differential-amplifier;
CNFET;
low power;
high speed;
high performance;
21.
Dominant Critical Gate Identification for Power and Yield Optimization in Logic Circuits
机译:
逻辑电路的功率和良率优化的主要临界栅极识别
作者:
Mihir Choudhury
;
Masoud Rostami
;
Kartik Mohanram
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
process variations;
yield;
Low-V_T;
22.
Line Width Optimization for Interdigitated Power/Ground Networks
机译:
交叉电源/地面网络的线宽优化
作者:
Renatas Jakushokas
;
Eby G. Friedman
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
power/ground network;
interdigitated structure;
optimal line width;
23.
Thermal-aware Voltage Droop Compensation for Multi-core Architectures
机译:
适用于多核架构的热敏电压降补偿
作者:
Jia Zhao
;
Basab Datta
;
Wayne Burleson
;
Russell Tessier
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
dvoltage emergency;
thermal monitor;
monitor network-on-chip;
24.
Overscaling-friendly Timing Speculation Architectures
机译:
超尺度友好的时序推测架构
作者:
John Sartori
;
akesh Kumar
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
design;
performance;
reliability;
25.
Low Power Nanoscale Buffer Management for Network on Chip Routers
机译:
片上网络路由器的低功耗纳米级缓冲区管理
作者:
Suman K. Mandal
;
Ron Denton
;
Saraju P. Mohanty
;
Rabi N. Mahapatra
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
nanoscale technology NoC;
SoC;
router;
dynamic power management;
26.
Write Buffer-Oriented Energy Reduction in the L1 Data Cache of Two-level Caches for the Embedded System
机译:
嵌入式系统二级缓存的L1数据缓存中面向写缓冲区的能耗降低
作者:
Soontae Kim
;
Jongmin Lee
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
data cache;
write buffer;
low power;
27.
Aging Effects of Leakage Optimizations for Caches
机译:
缓存泄漏优化的老化效应
作者:
Andrea Calimera
;
Mirko Loghi
;
Enrico Maciit
;
Massimo Poncino
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
memory hierarchy;
leakage reduction;
aging;
28.
A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs
机译:
基于NoC的可重构系统设计的新型设计框架
作者:
Vincenzo Rana
;
Donatella Sciuto
;
Ecole Polytechnique Federale de Lausanne
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
reconfigurable computing;
mapping algorithm;
design flow;
network-on-chip;
29.
A Multi-Level Approach to Reduce the Impact of NBTI on Processor Functional Units
机译:
减少NBTI对处理器功能单元影响的多级方法
作者:
Taniya Siddiqua
;
Sudhanva Gurumurthi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
reliability;
30.
Timing-Driven Variation-Aware Nonuniform Clock Mesh Synthesis
机译:
时序驱动的变化感知非均匀时钟网格综合
作者:
Ameer Abdelhadi
;
Ran Ginosar
;
Avinoam Kolodny
;
Eby G. Friedman
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
clock distribution;
non-tree clock networks;
clock mesh synthesis;
clock skew;
process variations;
power;
VLSI CAD;
physical design;
31.
Bus-Pin-Aware Bus-Driven Floorplanning
机译:
知道总线针脚的总线驱动布局
作者:
Bo-Shiun Wu
;
Tsung-Yi Ho
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
floorplanning;
bus planning;
32.
8Gb/s Capacitive Low Power and High Speed 4-PWAM Transceiver Design
机译:
8Gb / s电容式低功耗高速4PWAM收发器设计
作者:
Young Bok Kim
;
Yong-Bin Kim
;
Fabrizio Lombardi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
capacitive;
transmitter;
receiver;
transceiver;
pulse width modulation;
pulse amplitude modulation;
PWM;
PAM;
PWAM. low power;
high speed;
high performance;
33.
Variation Tolerant 9T SRAM Cell Design
机译:
耐变化的9T SRAM单元设计
作者:
Sreeharsha Tavva
;
Dhireesha Kudithipudi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
static random access memory(SRAM);
embedded SRAM;
static noise margin;
process variations;
bitline leakage;
34.
A Low-offset High-speed Double-tail Dual-rail Dynamic Latched Comparator
机译:
低失调高速双尾双轨动态锁存比较器
作者:
HeungJun Jeon
;
Yong-Bin Kim
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
clocked comparator;
dynamic latched comparator;
low-offset low-power high-speed;
voltage sense amplifier (SA);
35.
Via Configurable Three-Input Lookup-Tables for Structured ASICs
机译:
通过用于结构化ASIC的可配置三输入查找表
作者:
Yu-Chen Chen
;
Hou-Yu Pang
;
Kuen-Wen Lin
;
Rung-Bin Lin
;
Hui-Hsiang Tung
;
Shih-Chieh Su
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
structured ASIC;
look-up-table;
via-configurable;
VLSI;
layout;
36.
A New Physical Routing Approach for Robust Bundled Signaling on NoC Links
机译:
一种新的物理路由方法,用于NoC链路上的鲁棒捆绑信号
作者:
Mohammad Reza Kakoee
;
Igor Loi
;
Luca Benini
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithms;
design;
reliability;
37.
Scaling Power/Ground Solvers on Multi-core with Memory Bandwidth Awareness
机译:
具有内存带宽感知能力的多核扩展电源/接地求解器
作者:
Jin Shi
;
Yici Cai
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
power/ground solver;
multi-core;
38.
A Self-Adaptive Scheduler for Asymmetric Multi-cores
机译:
用于非对称多核的自适应调度程序
作者:
Omer Khan
;
Sandip Kundu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
modeling;
power;
scheduling;
39.
On-Chip Point-of-Load Voltage Regulator forDistributed Power Supplies
机译:
片上负载点稳压器,用于分布式电源
作者:
Selcuk Koese
;
Eby G. Friedman
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
on-chip voltage regulator;
power delivery;
DC-DC voltage regulation;
active filter;
40.
A Mask Double Patterning Technique using Litho Simulation by Wavelet Transform
机译:
基于小波变换光刻的掩模双图案化技术
作者:
Ranee Rodrigues
;
Sandip Kundu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
double patterning lithography;
polygon stitch;
wavelet;
41.
Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits
机译:
在同步电路中获得更高的延迟变化容忍度的方法
作者:
Emre Salman
;
Eby G. Friedman
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithms;
design;
42.
Graph Theoretic Approach for Scan Cell Reordering to Minimize Peak Shift Power
机译:
图论方法对扫描单元进行重新排序以最大程度地降低峰移功率
作者:
Jaynarayan T Tudu
;
Erik Larsson
;
Hideo Fujiwara
;
Virendra Singh
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
peak power;
power droop;
scan chain reordering;
43.
Characteristics of MS-CMOS Logic in Sub-32nm Technologies
机译:
Sub-32nm技术中的MS-CMOS逻辑特性
作者:
Kagan Irez
;
Jiaping Hu
;
Charles A. Zukowski
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
gate leakage reduction;
MSCMOS;
domino;
upsizing;
downsizing;
HSLS;
input vector;
logic overhead;
noise margin;
44.
Out-of-order Issue Logic using Sorting Networks
机译:
使用排序网络的无序发布逻辑
作者:
Siddhesh S. Mhambrey
;
Lawrence T. Clark
;
Krzysztof S. Berezowski
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
issue queue;
high speed circuits;
micro-architecture;
ILP;
out-of-order processing;
45.
Ordered Escape Routing via Routability-Driven Pin Assignment
机译:
通过可布线性引脚分配进行有序逃生路由
作者:
Jin-Tai Yan
;
Chung-Wei Ke
;
Zhi-Wei Chen
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
PCB design;
escape routing;
pin assignment;
routability;
46.
Wirelength-driven Force-directed 3D FPGA Placement
机译:
线长驱动力导向3D FPGA放置
作者:
Wentao Sui
;
Sheqin Dong
;
Jinian Bian
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
placement;
3-D;
force-directed;
legalization;
partition;
SA;
47.
Temperature-Constrained Fixed-Outline Floorplanning for Die-Stacking System-in-Package Design
机译:
芯片堆叠系统级封装设计中受温度限制的固定轮廓平面布局
作者:
De-Yu Liu
;
Wai-Kei Mak
;
Ting-Chi Wang
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithms;
experimentation;
48.
Performance-Constrained Template-Driven Retargeting for Analog and RF Layouts
机译:
针对模拟和RF布局的受性能限制的模板驱动重定向
作者:
Zheng Liu
;
Lihong Zhang
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithms;
49.
Semi-analytical Model for Schottky-barrier Carbon Nanotube and Graphene Nanoribbon Transistors
机译:
肖特基势垒碳纳米管和石墨烯纳米带晶体管的半解析模型
作者:
Xuebei Yang
;
Gianluca Fiori
;
Giuseppe lannaccone
;
Kartik Mohanram
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
carbon nanotubes;
graphene nanoribbons;
Schottky-barrier;
models;
50.
Scalable Identification Of Threshold Logic Functions
机译:
阈值逻辑功能的可扩展识别
作者:
Ashok kumar
;
Manoj kumar Goparaju
;
Spyros Tragoudas
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
threshold logic gates;
51.
Numerical Queue Solution of Thermal Noise-Induced Soft Errors in Subthreshold CMOS Devices
机译:
亚阈值CMOS器件中热噪声引起的软错误的数值排队解决方案
作者:
Pooya Jannaty
;
Florian C. Sabou
;
R. Iris Bahar
;
Joseph Mundy
;
William R. Patterson
;
Alexander Zaslavsky
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
reliability;
CMOS logic devices;
markov process;
poisson distribution;
laplace transform;
monte carlo method;
52.
Low-Power Side-Channel Attack-Resistant Asynchronous S-Box Design for AES Cryptosystems
机译:
用于AES密码系统的低功耗侧通道抗攻击异步S-Box设计
作者:
Jun Wu
;
Yong-Bin Kim
;
Minsu Choi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
advanced encryption standard;
substitution box (s-box);
side-channel attacks (SCA);
differential poweroise analysis;
poweroise measurement;
null convention logic;
security;
53.
Lightweight Runtime Control Flow Analysis for Adaptive Loop Caching
机译:
自适应循环缓存的轻量级运行时控制流分析
作者:
Marisha Rawlins
;
Ann Gordon-Ross
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
loop cache;
low energy;
architecture tuning;
embedded systems;
54.
Write Activity Reduction on Flash Main Memory via Smart Victim Cache
机译:
通过智能受害者缓存减少闪存主存储器上的写活动
作者:
Liang Shi
;
Chun Jason Xue
;
Jingtong Hu
;
Wei-Che Tseng
;
Xuehai Zhou
;
Edwin H.-M. Sha
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
cache;
victim cache;
NAND flash memory;
main memory;
55.
Thermal-Aware Floorplanning Exploration for 3D Multi-Core Architectures-
机译:
3D多核架构的热感知平面规划探索
作者:
David Cuesta
;
Jose Ayala
;
Jose Hidalgo
;
Massimo Poncino
;
Andrea Acquaviva
;
Enrico Macii
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
temperature;
3D;
floorplanning;
MPSoC;
56.
Graphene Tunneling FET and its Applications in Low-power Circuit Design
机译:
石墨烯隧穿FET及其在低功耗电路设计中的应用
作者:
Xuebei Yang
;
Jyotsna Chauhan
;
Jing Guo
;
Kartik Mohanram
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
graphene nanoribbons;
tunneling FETs;
low-power;
57.
An Integrated Thermal Estimation Framework for Industrial Embedded Platforms
机译:
工业嵌入式平台的集成热估算框架
作者:
Andrea Acquaviva
;
Andrea Calimera
;
Alberto Macii
;
Massimo Poncino
;
Enrico Macii
;
Matteo Giaconia
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
experimentation;
management;
58.
Pattern Grading for Testing Critical Paths Considering Power Supply Noise and Crosstalk Using a Layout-Aware Quality Metric
机译:
使用布局感知质量度量标准的模式分级,用于测试考虑电源噪声和串扰的关键路径
作者:
Junxia Ma
;
Jeremy Lee
;
Mohammad Tehranipoor
;
Nisar Ahmed
;
Patrick Girard
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
path delay test;
pattern grading;
power supply noise;
crosstalk;
signal integrity;
59.
A Novel Crosstalk Quantitative Approach for Simultaneously Reducing Power, Noise, and Delay Based on Bus-invert Encoding Schemes
机译:
基于总线反相编码方案的同时降低功率,噪声和延迟的新型串扰量化方法
作者:
Shanq-Jang Ruan
;
Tsang Chi Kan
;
Jih-Chieh Hsu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
bus-invert;
coupling and interconnect delay;
60.
Improving the Testability and Reliability of Sequential Circuits with Invariant Logic
机译:
利用不变逻辑提高时序电路的可测试性和可靠性
作者:
Nuno Alves
;
Kundan Nepal
;
Jennifer Dworak
;
R. Iris Bahar
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
online error detection;
test pattern reduction;
61.
A DOE-ILP Assisted Conjugate-Gradient Based Power and Stability Optimization in High-K Nano-CMOS SRAM
机译:
高K纳米CMOS SRAM中基于DOE-ILP辅助共轭梯度的功率和稳定性优化
作者:
Garima Thakral
;
Saraju P. Mohanty
;
Dhruva Ghai
;
Dhiraj K. Pradhan
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
SRAM;
nano-CMOS;
power;
leakage;
static noise margin;
62.
Energy-Efficient Redundant Execution for Chip Multiprocessors
机译:
芯片多处理器的节能冗余执行
作者:
Pramod Subramanyan
;
Virendra Singh
;
Kewal K. Saluja
;
Erik Larsson
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
transient faults;
permanent faults;
redundant execution;
microarchitecture;
63.
Design of Embedded MRAM Macros for Memory-in-Logic Applications
机译:
逻辑存储中嵌入式MRAM宏的设计
作者:
Sumanta Chaudhuri
;
Weisheng Zhao
;
Jacques-Oliver Klein
;
Claude Chappert
;
Pascale Mazoyer
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
MRAM;
embedded;
thermally assisted switching (TAS);
low power;
system on chip (SOC);
non-volatile;
architecture;
64.
Topology Impact on the Room Temperature Performance of THz-range Ballistic Deflection Transistors
机译:
拓扑对太赫兹范围弹道偏转晶体管室温性能的影响
作者:
Vikas Kaushal
;
Ignacio Iniguez-de-la-Torre
;
Martin Margala
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
nanodevices;
ballistic transport;
gate length;
angle effect;
dc experiments;
silvaco simulation;
65.
Read-Out Schemes for a CNTFET-based Crossbar Memory
机译:
基于CNTFET的交叉开关存储器的读出方案
作者:
Sheng Lin
;
Yong Bin Kim
;
Fabrizio Lombardi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
carbon nanotube field effect transistor;
crossbar design;
readout circuit;
noise margin;
66.
A Model to Exploit Power-Performance Efficiency in Superscalar Processors via Structure Resizing
机译:
通过调整结构大小来利用超标量处理器的性能效率模型
作者:
Omer Khan
;
Sandip Kundu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
modeling;
power;
67.
Thermal-Aware Compilation for System-on-Chip Processing Architectures
机译:
片上系统处理架构的热感知编译
作者:
Mohamed M. Sabry
;
Jose L. Ayala
;
David Atienza
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
thermal-aware;
compiler;
register-file;
68.
A Linear Statistical Analysis for Full-Chip Leakage Power with Spatial Correlation
机译:
具有空间相关性的全芯片泄漏功率的线性统计分析
作者:
Ruijing Shen
;
Sheldon X.-D. Tan
;
Jinjun Xiong
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithms;
69.
TURBONFS: Turbo Nand Flash Search
机译:
TURBONFS:Turbo Nand Flash搜索
作者:
Shruti Vyas
;
Aswin Sreedhar
;
Sandip Kundu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
NAND flash;
signature;
aliasing;
MISR;
70.
Dynamically Resizable Binary Decision Diagrams
机译:
动态可调整大小的二进制决策图
作者:
Stergios Stergiou
;
Jawanar Jain
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
compact;
binary decision diagrams;
71.
Fast Instruction Cache Modeling for Approximate Timed HW/SW Co-Simulation
机译:
用于近似定时硬件/软件协同仿真的快速指令缓存建模
作者:
Juan Castillo
;
Hector Posadas
;
Eugenio Villar
;
Marcos Martinez
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
cache modelling;
electronic system level;
systemC;
72.
Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors
机译:
通过片上传感器的自补偿制造差异来减少时钟偏斜
作者:
Shinya Abe
;
Ken-ichi Shinkai
;
Masanori Hashimoto
;
Takao Onoye
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
clock distribution;
manufacturing variability;
self-compensation;
on-chip sensors;
73.
Bus Via Reduction Based on Floorplan Revising
机译:
基于平面图修订的公交车减少
作者:
Ou He
;
Sheqin Dong
;
Jinian Bian
;
Satoshi Goto
;
Chung-Kuan Cheng
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
bus routing;
floorplan revising;
via reduction;
74.
Gating Internal Nodes to Reduce Power During Scan Shift
机译:
门控内部节点以降低扫描移位期间的功耗
作者:
Dheepakkumaran Jayaraman
;
Rajamani Sethuram
;
Spyros Tragoudas
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
low power test;
scan shift power reduction;
gating internal nodes;
75.
A Low Power, Variable Resolution Two-Step Flash ADC
机译:
低功耗,可变分辨率的两步闪存ADC
作者:
Mahesh Kumar Adimulam
;
Krishna Kumar Mowa
;
Sreehari Veeramachaneni
;
N. Moorthy Muthukrishnan
;
M.B Srinivas
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
low power;
variable resolution;
two-step flash ADC;
76.
Context-Aware TLB Preloading for Interference Reduction in Embedded Multi-Tasked Systems
机译:
上下文感知TLB预加载可减少嵌入式多任务系统中的干扰
作者:
llya Chukhman
;
Peter Petrov
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
TLB management;
real-time multi-processing;
77.
Reliability Analysis of Power Gated SRAM under Combined Effects of NBTI and PBTI in Nano-Scale CMOS
机译:
纳米CMOS中NBTI和PBTI共同作用下功率门控SRAM的可靠性分析。
作者:
Anuj Pushkarna
;
Hamid Mahmoodi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
design;
performance;
reliability;
78.
Analysis and Mitigation of NBTI-Impact on PVT Variability in Repeated Global Interconnect Performance
机译:
分析和缓解NBTI对重复全球互连性能中PVT变异的影响
作者:
Basab Datta
;
Wayne Burleson
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
NBTI;
global-interconnect;
variability;
tunable buffer;
79.
Collaborative Voltage Scaling with Online STA and Variable-Latency Datapath
机译:
在线STA和可变延迟数据路径的协作电压缩放
作者:
Tay-Jyi Lin
;
Pi-Cheng Hsiao
;
Chi-Hung Lin
;
Shu-Chang Kuo
;
Chou-Kun Lin
;
Yu-Ting Kuo
;
Chih-Wei Liu
;
Yuan-Hua Chu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
adaptive voltage scaling;
collaborative voltage scaling;
online STA;
variable-latency datapath;
80.
The Challenges of Implementing Fine-Grained Power Gating
机译:
实施细粒度电源门控的挑战
作者:
Anja Niedermeier
;
Kjetil Svarstad
;
Frank Bouwens
;
Jos Hulzink
;
Jos Huisken
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
power gating;
leakage power minimization;
power modeling;
register-transfer-level;
analysis;
power management;
81.
VLSI Implementation of a Non-Linear Feedback Shift Register for High-Speed Cryptography Applications
机译:
高速密码学应用的非线性反馈移位寄存器的VLSI实现
作者:
Pey-Chang Kent Lin
;
Sunil P. Khatri
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
NLFSR;
pseudo-random sequence;
stream cipher;
82.
Performance and Energy Efficient Cache Migration Approach for Thermal Management in Embedded Systems
机译:
嵌入式系统热管理的性能和节能高速缓存迁移方法
作者:
Raid Ayoub
;
Alex Orailoglu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
cache migration;
energy efficiency;
performance;
83.
A Revisit to Voltage Partitioning Problem
机译:
再谈电压分配问题
作者:
Tao Lin
;
Sheqin Dong
;
Bei Yu
;
Song Chen
;
Satoshi Goto
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
quasicovex assumption;
voltage partition;
84.
A Novel Resource Sharing Model and High-Level Synthesis for Delay Variability-Tolerant Datapaths
机译:
延迟可变性的数据路径的新型资源共享模型和高级综合
作者:
Keisuke Inoue
;
Mineo Kaneko
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
datapath synthesis;
ordered clocking;
resource assignment;
85.
Software Adaptation in Quality Sensitive Applications to Deal With Hardware Variability
机译:
质量敏感应用程序中的软件调整以应对硬件可变性
作者:
Aashish Pant
;
Puneet Gupta
;
Mihaela van der Schaar
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
variability;
hardware software interface;
adaptation;
86.
On-chip Power Supply Noise and Its Implications on Timing
机译:
片上电源噪声及其对时序的影响
作者:
Lars J. Svensson
;
Johnny Pihl
;
Daniel A. Andersson
;
Per Larsson-Edefors
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
supply-voltage variations;
hold margins;
87.
Logic Synthesis for Low Power using Clock Gating and Rewiring
机译:
使用时钟门控和重新布线的低功耗逻辑综合
作者:
Tak-Kei Lam
;
Steve Yang
;
Wai-Chung Tang
;
Yu-Liang Wu
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
logic synthesis;
low power;
clock gating;
88.
A Novel Droplet Routing Algorithm for Digital Microfluidic Biochips
机译:
数字微流控生物芯片的新型液滴路由算法
作者:
Pranab Roy
;
Hafizur Rahaman
;
Parthasarathi Dasgupta
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
microfluidics;
biochips;
layout;
placement and routing;
89.
Electromagnetic Interaction of On-Chip Antennas and CMOS Metal Layers for Wireless IC Interconnects
机译:
用于无线IC互连的片上天线和CMOS金属层的电磁相互作用
作者:
Ankit More
;
Baris Taskin
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
VLSI;
interconnects;
on-chip antennas;
electromagnetic;
90.
Design of Self Correcting Radiation Hardened Digital Circuits using Decoupled Ground Bus
机译:
解耦接地总线的自校正辐射硬化数字电路设计
作者:
Sohan Purohit
;
Sai Rahul Chalamalasetti
;
Martin Margala
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
soft errors;
radiation hardening;
self repairing circuits;
91.
A Novel Multi-objective Instruction Synthesis Flow for Application-specific Instruction Set Processors
机译:
专用指令集处理器的新型多目标指令合成流程
作者:
Hai Lin
;
Yunsi Fei
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
algorithm;
92.
AOP-based High-level Power Estimation in SystemC
机译:
SystemC中基于AOP的高级功率估计
作者:
Feng Liu
;
Qingping Tan
;
Xiaoyu Song
;
Naeem Abbasi
会议名称:
《20th great lakes symposium on VLSI 2010 (GLSVLSI 2010)》
|
2010年
关键词:
power estimation;
systemC;
aspectC++;
意见反馈
回到顶部
回到首页