首页> 中国专利> 基于机器学习的逆光学邻近效应校正和过程模型校准

基于机器学习的逆光学邻近效应校正和过程模型校准

摘要

本文描述了一种用于校准过程模型和训练图案化过程的逆过程模型的方法。训练方法包括:根据对基于晶片目标布局预测图案形成装置图案的逆光刻过程的模拟,获得第一图案形成装置图案;接收与使用第一图案形成装置图案曝光的晶片相对应的晶片数据;以及训练逆过程模型,该逆过程模型被配置成使用与曝光后的晶片相关的晶片数据和第一图案形成装置图案来预测第二图案形成装置图案。

著录项

  • 公开/公告号CN112384860A

    专利类型发明专利

  • 公开/公告日2021-02-19

    原文格式PDF

  • 申请/专利权人 ASML荷兰有限公司;

    申请/专利号CN201980040229.9

  • 申请日2019-05-23

  • 分类号G03F7/20(20060101);G03F1/36(20060101);

  • 代理机构11021 中科专利商标代理有限责任公司;

  • 代理人张启程

  • 地址 荷兰维德霍温

  • 入库时间 2023-06-19 09:54:18

说明书

相关申请的交叉引用

本申请要求于2018年6月15日递交的美国申请62/685,749的优先权,该美国申请的全部内容以引用方式并入本文中。

技术领域

本文中的描述总体涉及图案化过程和确定与设计布局相对应的图案形成装置的图案的设备和方法。

背景技术

光刻投影设备可以用于例如集成电路(IC)的制造中。在这种情况下,图案形成装置(例如掩模)可以包含或提供与IC的单层相对应的图案(“设计布局”),并且这种图案可以通过诸如经由图案形成装置上的图案来辐照目标部分的方法而被转印至衬底(例如硅晶片)上的目标部分(例如包括一个或更多个管芯)上,所述目标部分已经涂覆有辐射敏感材料(“抗蚀剂”)层。通常,单个衬底包含多个相邻的目标部分,图案由光刻投影设备逐次地转印至所述多个相邻目标部分,一次一个目标部分。在这种类型的光刻投影设备中,将整个图案形成装置上的图案一次性转印至一个目标部分上;这种设备通常称作步进器。在通常称作步进扫描设备的替代设备中,投影束在给定参考方向(“扫描”方向)上在图案形成装置上进行扫描,同时平行或反向平行于这种参考方向而同步地移动衬底。图案形成装置上的图案的不同部分逐步地转印至一个目标部分。通常,由于光刻投影设备将具有减小率M(例如4),因此移动衬底的速度F将是投影束扫描图案形成装置的速度的1/M倍。可以例如从以引用方式并入本文中的US 6,046,792搜集到关于如本文中所描述的光刻装置的更多信息。

在将图案从图案形成装置转印至衬底之前,衬底可以经历各种工序,诸如上底漆、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经历其它工序(“曝光后工序”),诸如曝光后烘烤(PEB)、显影、硬烘烤和对转印后的图案的测量/检查。这种工序阵列是用作制造器件(例如IC)的单层的基础。衬底可以随后经历各种过程,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所述过程都意图对器件的单层进行精加工。如果在器件中需要若干层,则随后针对每个层重复整个工序或其变型。最终,器件将存在于衬底上的每个目标部分中。随后通过诸如切块或锯切之类的技术来使这些器件彼此分离,据此,可以将单独的装置安装在载体上、连接至引脚等。

因此,制造诸如半导体器件之类的器件典型地涉及使用一定数目个制造过程来处理衬底(例如半导体晶片)以形成器件的各种特征和多个层。典型地使用例如沉积、光刻、蚀刻、化学机械抛光和离子注入来制造和处理这样的层和特征。可以在衬底上的多个管芯上制造多个器件,并且随后将所述器件分离为单独的器件。这种器件制造过程可以视为图案化过程。图案化过程涉及使用光刻设备中的图案形成装置的图案化步骤,诸如光学和/或纳米压模光刻术,以将图案形成装置上的图案转印至衬底,并且图案化过程典型地但可选地涉及一个或更多个相关图案处理步骤,诸如通过显影设备进行抗蚀剂显影、使用烘烤工具来烘烤衬底、使用蚀刻设备用图案进行蚀刻等。

如所提及的,光刻是在制造诸如IC的器件时的核心步骤,其中形成在衬底上的图案限定器件的功能元件,诸如微处理器、存储器芯片等。类似的光刻技术也用于形成平板显示器、微机电系统(MEMS)和其它器件。

随着半导体制造过程继续发展,几十年来,功能元件的尺寸已不断减小,而每器件的诸如晶体管之类的功能元件的量已在稳定增加,这种遵循通常称作“莫耳定律”的趋势。在目前的技术状态下,使用光刻投影设备来制造器件的层,所述光刻投影设备使用来自深紫外线照射源的照射将设计布局投影至衬底上,从而形成尺寸充分低于100nm(即小于来自照射源(例如193nm照射源)的辐射的波长的半)的单独的功能元件。

根据分辨率公式CD=k

发明内容

根据实施例,本公开描述一种用于校准图案化过程的过程模型的方法。所述方法包括:根据对基于晶片目标布局预测图案形成装置图案的逆光刻过程的模拟,获得所述图案形成装置图案;接收与使用所述图案形成装置图案曝光的晶片相对应的晶片数据;以及基于与曝光后的晶片相关的所述晶片数据和所述图案形成装置图案来校准所述图案化过程的过程模型。

在实施例中,校准所述过程模型是迭代过程。迭代包括基于所述晶片数据和所述图案形成装置图案来确定所述过程模型的模型参数的值,调整所述模型参数的值,直至所述过程模型的第一成本函数被改善为止。

在实施例中,所述第一成本函数是所述晶片数据与从校准后的过程模型获得的预测图案之间的差。在实施例中,针对所述图案化过程的性能参数来测量所述差,所述性能参数包括特征的轮廓、临界尺寸和/或过程窗中的至少一个。

在实施例中,对所述逆光刻过程的模拟涉及对以下各项的模拟:掩模模型,所述掩模模型被配置成根据所述图案形成装置图案来预测掩模图像;光学模型,所述光学模型被配置成预测与所述图案形成装置图案相对应的空间像;抗蚀剂模型,所述抗蚀剂模型被配置成预测与所述图案形成装置图案相对应的抗蚀剂图像;和/或蚀刻模型,所述蚀刻模型被配置成预测与所述图案形成装置图案相对应的蚀刻图像。

在实施例中,对所述逆光刻过程的模拟是迭代过程。迭代包括获得初始图案形成装置图案;经由对所述过程模型的模拟,基于所述初始图案形成装置图案来确定所述晶片上的模拟晶片图案;评估第二成本函数,其中所述第二成本函数计算所述模拟图案与所述晶片目标布局之间的差;以及调整所述初始图案形成装置图案以使得所述第二成本函数减小。

在实施例中,所述晶片数据包含与所述晶片上印制的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

在实施例中,所述测量结果基于从电子束检查设备和/或光学检查设备获得的所述曝光后的晶片的图像。

在实施例中,所述电子束检查设备是扫描电子显微镜。

在实施例中,所述晶片目标布局包括与设计布局相对应的将被印制在经历所述图案化过程的所述晶片上的图案。

在实施例中,所述过程模型是掩模模型、光学模型、抗蚀剂模型和/或蚀刻模型。

在实施例中,所述过程模型是基于物理学的模型和/或机器学习模型。

此外,根据实施例,本公开描述一种用于训练图案化过程的逆过程模型的方法。所述方法包括:根据对基于晶片目标布局预测图案形成装置图案的逆光刻过程的模拟,获得第一图案形成装置图案;经由处理器接收与使用所述第一图案形成装置图案曝光的晶片相对应的晶片数据;以及经由所述处理器训练逆过程模型,所述逆过程模型被配置成使用与所述曝光后的晶片相关的晶片数据和第一图案形成装置图案来预测第二图案形成装置图案。

在实施例中,所述逆过程模型是被配置成使用所述晶片目标布局作为输入来预测所述第二图案形成装置图案的机器学习模型。

在实施例中,所述机器学习模型是卷积神经网络。

在实施例中,训练所述逆过程模型是迭代过程。迭代包括基于所述晶片数据和所述图案形成装置图案来确定所述卷积神经网络的模型参数的值;以及调整所述模型参数的值,直至所述卷积神经网络的第一成本函数被改善为止。

在实施例中,所述第一成本函数是所述图案形成装置图案与从所述卷积神经网络获得的预测图案形成装置图案之间的差。

在实施例中,所述晶片数据包含与所述晶片上印制的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

在实施例中,所述晶片目标布局包括与设计布局相对应的将被印制在经历所述图案化过程的所述晶片上的图案。

在实施例中,所述方法还包括经由对所述训练后的逆过程模型的模拟,基于给定晶片目标布局来预测图案形成装置图案。

此外,根据实施例,本公开描述一种用于确定图案化过程的图案形成装置布局的方法。所述方法包括:根据训练后的逆过程模型来获得初始图案形成装置图案,所述训练后的逆过程模型根据晶片目标布局来预测所述初始图案形成装置图案;经由对校准后的过程模型的模拟,基于所述初始图案形成装置图案和晶片目标布局来确定图案形成装置布局。

在实施例中,确定所述图案形成装置布局是迭代过程。迭代包括:获得所述初始图案形成装置图案;经由对所述校准后的过程模型的模拟,基于所述初始图案形成装置图案来确定所述晶片上的模拟晶片图案;评估成本函数,其中所述成本函数计算所述模拟图案与所述晶片目标布局之间的差;以及调整所述初始图案形成装置图案以使得所述成本函数减小。

在实施例中,基于与所述曝光后的晶片和所述图案形成装置图案相关的晶片数据,根据对逆光刻过程的模拟来校准所述图案化过程的所述校准后的过程模型。

在实施例中,所述晶片数据包含与所述晶片上印制的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

在实施例中,所述初始图案形成装置图案是不需要调整所述初始图案形成装置图案的最终图案形成装置布局。

附图说明

现在将参考随附的附图并仅通过示例来描述实施例,在附图中:

图1示出根据实施例的光刻系统的各个子系统的框图;

图2是根据实施例的用于校准图案化过程的过程模型的方法的流程图;

图3是根据实施例的用于训练图案化过程的逆过程模型的方法的流程图;

图4是根据实施例的确定图案化过程的图案形成装置布局的方法的流程图;

图5A图示根据实施例的使用如3图中所示的方法来训练的示例逆过程模型所产生的示例图案形成装置图案;

图5B是根据实施例的设计布局或晶片目标布局的示例;

图5C是根据实施例的与图5B的设计布局相对应的曝光后的晶片的示例SEM图像;

图6描绘根据实施例的用于对图案化过程的至少一部分进行建模和/或模拟的示例流程图;

图7示意性地描绘根据实施例的扫描电子显微镜(SEM)的实施例;

图8示意性地描绘根据实施例的电子束检查设备的实施例;

图9是根据实施例的示例计算机系统的框图;

图10是根据实施例的光刻投影设备的示意图;

图11是根据实施例的另一光刻投影设备的示意图;

图12是根据实施例的图10中的设备的更详细的视图;

图13是根据实施例的图11和图12的设备的源收集器模块SO的更详细的视图。

具体实施方式

虽然在本文中可以具体地参考IC的制造,但应明确地理解,本文中的描述具有许多其它可能的应用。例如,所述描述可以用于制造集成光学系统、用于磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员将了解,在这样的替代应用的情形下,本文中对术语“掩模版”、“晶片”或“管芯”的任何使用应视是可以分别与更上位的术语“掩模”、“衬底”和“目标部分”互换。

在本文献中,术语“辐射”和“束”用以涵盖所有类型的电磁辐射,包括紫外辐射(例如具有365nm、248nm、193nm、157nm或126nm的波长)和EUV(极紫外辐射,例如具有在约5nm至100nm的范围内的波长)。

图案形成装置可以包括或可以形成一个或更多个设计布局。可以利用CAD(计算机辅助设计)过程来产生设计布局,这种过程通常称作EDA(电子设计自动化)。大多数CAD过程遵循预定设计规则的集合,以便形成功能设计布局/图案形成装置。通过处理和设计限制来设定这些规则。例如,设计规则限定器件(诸如栅极、电容器等)或互联线之间的空间容许度,以便确保器件或线不会以不期望的方式彼此相互作用。设计规则限制中的一个或更多个可以被称作“临界尺寸”(CD)。可以将器件的临界尺寸定义为线或孔的最小宽度,或两条线或两个孔之间的最小空间。因此,CD确定所设计的器件的总大小和密度。当然,器件制造的目标中的一个目标是在衬底上如实地再现原始设计意图(经由图案形成装置)。

作为示例,图案布局设计可以包括诸如光学邻近效应校正(OPC)的分辨率增强技术的应用。OPC解决如下事实:投影于衬底上的设计布局的图像的最终大小和放置将并不等同于设计布局在图案形成装置上的大小和放置或简单地仅视所述大小和放置而定。应当注意,术语“掩模”、“掩模版”、“图案形成装置”在本文中可互换使用。另外,本领域技术人员将认识到,可互换地使用术语“掩模”、“图案形成装置”和“设计布局”,如在RET的情形下,不必使用实体图案形成装置,而可以使用设计布局来表示实体图案形成装置。对于存在于某一设计布局上的较小特征大小和高特征密度,给定特征的特定边缘的位置将在某种程度上受到其它邻近特征的存在或不存在的影响。这些邻近效应起因于从一个特征耦接至另一特征的微量的辐射或诸如衍射和干涉之类的非几何光学效应。类似地,邻近效应可以起因于在光刻后曝光烘烤(PEB)、抗蚀剂显影和蚀刻期间的扩散和其它化学效应。

为了增加设计布局的投影后的图像是根据给定目标电路设计的要求的机会,可以使用设计布局的复杂数值模型、校正或预变形来预测和补偿邻近效应。文章“Full-ChipLithography Simulation and Design Analysis-How OPC Is Changing IC Design”(C.Spence,Proc.SPIE,第5751卷,第1至14页(2005))提供当前“基于模型的”光学邻近效应校正过程的综述。在典型的高端设计中,设计布局的几乎每个特征都具有某一修改,以便实现投影后的图像与目标设计的高保真度。这些修改可以包括边缘位置或线宽的移位或偏置,以及意图辅助其它特征的投影的“辅助”特征的应用。

OPC的最简单形式之一是选择性偏置。在给定对CD的节距曲线的情况下,可以至少在最佳聚焦和曝光处通过在图案形成装置水平面处改变CD而迫使所有不同节距产生相同CD。因此,如果特征在衬底水平面处印制过小,则图案形成装置水平面特征将偏置是稍微大于名义的,并且反之亦然。由于从图案形成装置水平面至衬底水平面的图案转印过程是非线性的,因此偏置的量不是仅仅在最佳聚焦和曝光处的所测量的CD误差乘以减小率,而可以通过建模和实验来确定适当的偏置。选择性偏置是对邻近效应的问题的不完整解决方案(尤其在其仅应用于名义过程条件下的情况下)。虽然原则上可以应用这种偏置以得到最佳聚焦和曝光处的均一CD相对于节距曲线,但一旦曝光过程从名义条件变化,则每个偏置节距曲线就将以不同的方式作出响应,从而对不同的特征产生不同的过程窗。过程窗是足够适当地形成特征(例如特征的CD在某一范围内,诸如±10%或±5%)所根据的两个或更多个过程参数(例如光刻设备中的焦距和辐射剂量)的值的范围。因此,用以得到相同CD与节距的“最佳”偏置甚至可能对总过程窗具有负面影响,从而减小而不是放大所有目标特征在期望的过程容许度内被印制在衬底上的聚焦和曝光范围。

已开发用于超出上文维偏置示例的应用的其它更复杂的OPC技术。二维邻近效应为线端缩短的。线端具有随曝光和焦距而变从其期望的端点部位“拉回”的倾向。在许多情况下,长线端的端部缩短的程度可能比相应的线窄化的程度大若干倍。这种类型的线端拉回可以在线端不能完全横跨其意图覆盖的基础层(诸如源极-漏极区上方的多晶硅栅极层)的情况下使所制造的器件发生严重故障。由于这种类型的图案对聚焦和曝光高度敏感,因此仅仅使线端偏置成比设计长度更长是并不充分的,这是因为在最佳聚焦和曝光处或在曝光不足条件下,线将过长,从而在延伸线端与相邻结构接触时导致短路,或在电路中的单独的特征之间添加更多空间的情况下导致不必要的大的电路大小。由于集成电路设计和制造的目标之一是最大化功能元件的数目,同时最小化每芯片所需的面积,因此添加过量间距是不期望的解决方案。

二维OPC方法可以帮助解决线端拉回问题。诸如“锤头”或“衬线”的额外结构(也称作“辅助特征”)可以被添加至线端,以将所述线端有效地锚固到适当位置且提供遍及整个过程窗的减小后的拉回。即使在最佳聚焦和曝光处,这些额外的结构仍没有被分辨,但其更改主要特征的外观,而没有被独自完全分辨。如本文中所使用的“主要特征”意味着意图在过程窗中的一些或所有条件下被印制在衬底上的特征。辅助特征可以采用比添加至线端的简单锤头更强劲或更具侵略性的形式,达到图案形成装置上的图案不再仅仅是大小增加达减小率的期望的衬底图案的程度。诸如衬线之类的辅助特征可以应用于比仅仅减小线端拉回更多的情形。内部或外部衬线可以应用于任何边缘(尤其是二维边缘),以减小拐角圆化或边缘挤压。通过足够的选择性偏置和所有大小和极性的辅助特征,图案形成装置上的特征带有与衬底水平面处的期望的最终图案越来越小的类似性。通常,图案形成装置图案变为衬底水平面图案的预变形版本,其中变形意图抵消或逆转在制造过程期间将出现的图案变形以在衬底上产生尽可能与设计者所预期的图案相近的图案。

代替连接至主要特征的那些辅助特征(例如衬线)或除了那些辅助特征以外,另一OPC技术涉及使用完全独立且不可分辨的辅助特征。这里的术语“独立”意味着这些辅助特征的边缘不连接至主要特征的边缘。这些独立辅助特征不意图或期望作为特征被印制在衬底上,而是意图修改附近的主要特征的空间像,以增强所述主要特征的可印制性和过程容许度。这些辅助特征(通常称作“散射栅条”或“SBAR”)可以包括:子分辨率辅助特征(SRAF),所述子分辨率辅助特征是主要特征的边缘外部的特征;和子分辨率逆特征(SRIF),所述子分辨率逆特征是从主要特征的边缘内部挖出的特征。SBAR的存在向图案形成装置图案添加又一层的复杂度。使用散射栅条的简单示例是:其中在隔离线特征的两侧上拖曳不可分辨的散射栅条的规则阵列,这种情形从空间像的观点具有使隔离线呈现为更能表示致密线阵列内的单条线的效果,从而使过程窗在聚焦和曝光容许度方面更接近于致密图案的聚焦和曝光容许度。相较于如在图案形成装置水平面处被隔离而拖曳的特征的公共过程窗,这种装饰的隔离特征与致密图案之间的公共过程窗将对聚焦和曝光变化具有更大的公共容许度。

辅助特征可以被视为图案形成装置上的特征与设计布局中的特征之间的差。术语“主要特征”和“辅助特征”并不暗示图案形成装置上的特定特征必须标注为主要特征或辅助特征。

如本文中所采用的术语“掩模”或“图案形成装置”可以广义地解释为是指可以用以向入射辐射束赋予图案化横截面的通用图案形成装置,所述图案化横截面对应于待在衬底的目标部分中形成的图案;术语“光阀”也可以用于这种情形下。除了经典掩模(透射或反射;二元式、相移式、混合式等)以外,其它这样的图案形成装置的示例也包括:

-可编程反射镜阵列。这种器件的示例是具有黏弹性控制层和反射表面的矩阵可寻址表面。这种设备所隐含的基本原理为(例如):反射表面的寻址区域将入射辐射反射为衍射辐射,而未寻址区域将入射辐射反射为非衍射辐射。使用适当滤光器,可以从反射束滤出所述非衍射辐射,从而仅留下衍射辐射;这样,束根据矩阵可寻址表面的寻址图案而变为被图案化的。可以使用合适的电子装置来执行所需的矩阵寻址。

-可编程LCD阵列。在以引用的方式并入本文中的美国专利第5,229,872号中给出了这种构造的示例。

作为简要介绍,图1图示示例性光刻投影设备10A。主要部件是:辐射源12A,所述辐射源可以是深紫外线准分子激光源或包括极紫外线(EUV)源的其它类型的源(如上文所论述的,光刻投影设备本身无需具有辐射源);照射光学器件,所述照射光学器件例如限定部分相干性(被表示为均方偏差)且可以包括成形来自源12A的辐射的光学器件14A、光学器件16Aa和光学器件16Ab;图案形成装置18A;以及透射光学器件16Ac,所述透射光学器件将图案形成装置图案的图像投影至衬底平面22A上。在投影光学器件的光瞳平面处的可调整滤光器或孔20A可以限制照射到衬底平面22A上的束角度的范围,其中最大可能角度限定投影光学器件的数值孔径NA=n sin(Θ

在光刻投影设备中,源将照射(即辐射)提供至图案形成装置,并且投影光学器件经由图案形成装置将照射引导且成形至衬底上。投影光学器件可以包括部件14A、部件16Aa、部件16Ab和部件16Ac中的至少一些。空间像(AI)是在衬底水平下的辐射强度分布。曝光衬底上的抗蚀剂层,并且将空间像转印至抗蚀剂层以在其中作为潜伏“抗蚀剂图像”(RI)。可以将抗蚀剂图像(RI)定义为抗蚀剂层中的抗蚀剂的溶解度的空间分布。可以使用抗蚀剂模型以根据空间像计算抗蚀剂图像,可以在美国专利申请公开号US 2009-0157360中发现这种情形的示例,所述专利申请公开出版物的公开内容特此以全文引用的方式并入。抗蚀剂模型仅与抗蚀剂层的性质(例如在曝光、PEB和显影期间发生的化学过程的效应)相关。光刻投影设备的光学性质(例如源、图案形成装置和投影光学器件的性质)决定空间像。由于可以改变用于光刻投影设备中的图案形成装置,因此可能期望使图案形成装置的光学性质与至少包括源和投影光学器件的光刻投影设备的其余部分的光学性质分离。

在实施例中,可以基于设计布局如何根据本公开的方法来优化而将辅助特征(子分辨率辅助特征和/或可印制分辨率辅助特征)放置于设计布局中。例如,在实施例中,方法采用基于机器学习的模型来确定图案形成装置图案。机器学习模型可以是神经网络,诸如卷积神经网络,其可以某种方式(例如,如图3中所论述的)训练以在较快速率下获得准确预测,因此实现图案化过程的全芯片模拟。

可以使用一组训练数据来训练神经网络(即确定神经网络的参数)。训练数据可以包括一组训练样本或由所述组训练样本组成。每个样本可以是包括输入目标(典型地是向量,所述向量可以被称作特征向量)和期望的输出值(也称作管理信号)或由所述输入目标和所述期望的输出值组成的对。训练算法分析训练数据,并且通过基于训练数据调整神经网络的参数(例如一个或更多个层的权重)来调整神经网络的行为。在训练之后,神经网络可以用于映射新样本。

在确定图案形成装置图案的情形下,特征向量可以包括由图案形成装置包括或形成的设计布局的一个或更多个特性(例如形状、布置、大小等)、图案形成装置的一个或更多个特性(例如一个或更多个物理性质,诸如尺寸、折射率、材料组成等)、以及用于光刻过程中的照射的一个或更多个特性(例如波长)。管理信号可以包括图案形成装置图案的一个或更多个特性(例如图案形成装置图案的CD、轮廓等)。

在给定形式{(x

神经网络可以是概率性的,其中g采用条件概率模型g(x)=P(y|x)的形式,或f采用联合概率模型f(x,y)=P(x,y)的形式。

存在两种基本方法来选择f或g:经验风险最小化和结构风险最小化。经验风险最小化寻找训练数据的神经网络的最佳拟合。结构风险最小化包括控制偏差/方差平衡(bias/variance tradeoff)的惩罚函数。例如,在实施例中,惩罚函数可以基于成本函数,其可以是平方误差、缺陷数目、EPE等。函数(或函数内的权重)可以被修改以使得方差被减小或最小化。

在两种情况下,假定训练集包括独立且相同分布的多对(x

将函数g的风险R(g)定义为g的预期损失。可以根据训练数据将这种预期损失估计为

在实施例中,图案化过程的机器学习模型可以被训练以预测例如掩模图案的轮廓、图案、CD和/或晶片上的抗蚀剂和/或蚀刻图像的轮廓、CD、边缘放置(例如边缘放置误差)等。训练的目标是实现对例如晶片上的印制图案的轮廓、空间像强度斜率和/或CD等的准确预测。预期设计(例如待印制在晶片上的晶片目标布局)一般被定义为:可以以诸如GDSII或OASIS或其它文献格式之类的标准化数字文献格式提供的预OPC设计布局。

图案化过程的建模是计算光刻应用的重要部分。具体地,由于光刻更深入至低k1状态中,因此掩模图案优化对于补偿归因于衍射或过程效应/偏差的成像(即图案化)误差至关重要。常规的掩模图案优化方法已由基于规则的OPC(光学邻近效应校正)演化为基于模型的OPC和逆OPC。

基于规则的OPC通过基于特征之间的宽度和间距的预计算查找表来驱动。基于模型的OPC使用校准后的光刻模型迭代地模拟掩模的最终图案,并且由此驱动图案的边缘的移动。逆OPC将OPC作为逆成像问题来处理。使用严密数学方法,以逆向方式(即由晶片图案来导出掩模图案)解决由掩模图案向晶片图案的光学转变,以使得可以识别出最佳可能的理论掩模图案。

OPC的关键指标和挑战是全芯片运行时间和收敛,所述全芯片运行时间和收敛决定掩模图案在晶片上再现预期设计布局(例如由设计者提供)的良好程度。归因于大量待处理数据(例如与晶片或芯片上的数十亿晶体管相关),运行时间规格对逆OPC算法的复杂度施加严重约束。同时,随着待印制图案的大小在大小上变得更小(例如小于20nm或甚至个位数nm),OPC收敛规格变得更紧密。

当前,逆OPC涉及使用非线性优化算法的模型(诸如Broyden-Fletcher-Goldfarb-Shanno(BFGS)),所述非线性优化算法典型地需要计算梯度(即成本函数相对于与掩模相对应的变量在晶片水平处的导数))。这样的算法典型地是计算密集型的,并且仅可以适用于芯片水平应用。芯片水平是指晶片管芯(即芯片)上印制有所选图案的部分;晶片管芯可以具有数百或数千个这样的芯片,使得模拟过程是计算密集型的。这样,不仅需要更快的模型,而且需要与现有模型相比可以产生更精确结果的模型,以实现将具有更小大小(例如小于20nm至个位数nm)的特征和图案印制在晶片上。

根据本公开,基于机器学习的过程模型(例如用于掩模优化的逆过程模型)提供诸如以下各项的益处:(i)例如对掩模图案和/或晶片图案的改善后的预测准确度,(ii)对于可以确定掩模布局的任何设计布局的大致减少的运行时间(例如减少多于10x、100x等),其也可以改善用于图案化过程中的计算机的计算时间。

图2是用于经由处理器(例如图9中的处理器104)来校准图案化过程的过程模型的方法2000的流程图。方法2000涉及:根据对基于晶片目标布局WTL来预测掩模图案2003的逆光刻过程(例如过程P201、过程P203和过程P205,稍后解释)的模拟,获得图案形成装置图案2003(下文中也称作掩模图案2003)。在实施例中,对逆光刻过程的模拟涉及对基于晶片目标布局WTL的掩模图案2003的优化。优化过程涉及通过逐步地校正或调整初始掩模图案直至逆光刻过程收敛(即没有观测到掩模图案的进一步改善)来对初始掩模图案的特征进行重配置。在实施例中,对初始掩模图案的这种调整称作光学邻近效应校正(OPC)。典型地,所获得的掩模图案2003是曲线掩模图案,其中一个或更多个特征(例如包括SRAF、Sherifs等)是曲线形的。与诸如具有曼哈顿(Manhattan)图案之类的常规掩模,使用曲线掩模图案来进行图案化最终产生优选晶片图案,因此改善印制图案的良率和准确度。

在实施例中,掩模图案进一步用以经由图案形成设备(例如光刻设备)对晶片(或通常,衬底)进行曝光,以确定将被印制在晶片上的实际图案。随后,可以使用量测工具(例如SEM(详细论述参考图7至图8)、YieldStar等)从印制晶片获得晶片数据(例如SEM图像5020)。晶片数据和掩模图案随后用以使用例如第一成本函数(稍后论述)来校准图案化过程的一个或更多个过程模型。方法2000现详细地描述如下。

过程P201是逆光刻过程的部分,所述逆光刻过程涉及对被配置成在晶片水平面处预测图案的一个或更多个过程模型的模拟。如图所示,对逆光刻过程的模拟是迭代过程(例如过程P201、过程P203和过程P205,稍后解释)。在过程P201中,迭代包括获得初始图案形成装置图案2001(下文中称作初始掩模图案2001)。初始掩模图案2001可以从另一逆光刻过程、设计布局或从掩模图案库来获得。初始掩模图案2001可以与全芯片的芯片相关联,并且可以以诸如像素化图像或表示初始掩模图案的特征的向量之类的数字形式来提供。

此外,过程P201涉及经由对一个或更多个过程模型的模拟,基于初始掩模图案2001来确定晶片上的模拟晶片图案2002。模拟晶片图案2002是指在经历使用掩模图案(例如初始掩模图案2001或后续修改后的掩模图案)的图案化过程时可以被印制在晶片上的预测图案。

在实施例中,过程模型可以是被配置成根据图案形成装置图案来预测掩模图像的掩模模型、被配置成预测与图案形成装置图案相对应的空间像的光学模型、被配置成预测与图案形成装置图案的抗蚀剂图像相对应的抗蚀剂模型、被配置成预测与图案形成装置图案的蚀刻图像相对应的蚀刻模型,或其组合。参照图6来论述光刻过程的示例模拟过程。

在实施例中,用于逆光刻过程的一个或更多个过程模型可以基于物理学的模型、经验模型、机器学习模型或其组合。本公开不限于一种类型的模型,本文中可以采用能够准确预测过程结果的任何模型。

在过程P203中,方法2000涉及评估第二成本函数,所述第二成本函数计算模拟晶片图案2002与晶片目标布局WTL之间的差。在实施例中,晶片目标布局WTL是待印制在晶片上的期望的布局或期望的图案。在实施例中,晶片布局包括与将被印制在经历图案化过程的晶片上的设计布局相对应的图案。在实施例中,第二成本函数基于图案化过程的性能参数,所述性能参数包括特征的轮廓和/或临界尺寸中的至少一个。在实施例中,基于轮廓差异,可以将指标定义为例如模拟图案2002与晶片目标布局图案的面积(例如矩形或圆形的面积)的差,或模拟晶片图案2002与晶片目标布局的相应的图案之间的边缘放置误差。

在过程P205中,确定第二成本函数是否被改善。在实施例中,第二成本函数的改善可以指减小(或最小化)成本指标,例如最小化EPE或CD误差。在实施例中,过程P205可以涉及确定是否满足收敛准则。换句话说,对于对初始掩模图案的额外调整,没有观测到过程模型的输出的进一步改善,并且所述模型视为收敛的或校准的。收敛准则是指示校准过程的终止点的阈值。可以针对例如迭代次数、EPE、CD等表达阈值。

此外,过程P205(或可替代地,过程P201)涉及调整初始掩模图案2001以使得第二成本函数被改善(在实施例中,被减小)。在实施例中,调整是指基于参照掩模相关参数所计算的第二成本函数的梯度来修改初始掩模图案2001内的特征的形状和大小。在实施例中,初始掩模图案2001可以表示为像素化图像。在这种情况下,可以参照像素的强度来计算梯度。梯度可以是参照参数指示第二成本函数的变化的多变量映射。在实施例中,映射引导或确定方向(例如增加或减小像素值),其中特定掩模图案应被修改以减小(或最小化)第二成本函数的值。在实施例中,可以在初始掩模图案2001的调整期间添加辅助特征(例如SRAF)。

例如,第二成本函数的梯度可以经计算为dcost/dvar,其中“cost”可以是EPE的平方(即EPE

当逆光刻过程确定掩模图案2003时,过程P207涉及经由处理器(例如处理器104或与图9的处理104通信的网络链路120)接收与使用掩模图案2003曝光(例如经由光刻设备)的晶片相关的晶片数据2007。曝光后的晶片(例如图5C中的SEM图像5020)应包括与期望的图案或设计布局或晶片目标布局类似的图案。此外,在实施例中,可以例如经由量测工具(例如SEM(参照图7至图8论述)、YieldStar等)来测量曝光后的晶片以获得晶片数据2007。晶片数据2007包括与被印制在晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、特征的轮廓、边缘放置误差和/或过程窗(例如剂量和焦距测量结果)。在实施例中,被印制在晶片上的特征的轮廓可以经由图像处理从SEM图像导出,所述图像处理被配置成识别图像(例如印制图案的灰阶图像)内的特征的边界。

此外,过程P209涉及基于与曝光后的晶片相关的晶片数据2007和在图案化步骤期间所使用的掩模图案2003来校准图案化过程的过程模型。对过程模型的校准是迭代过程。迭代包括基于晶片数据2007和掩模图案2003来确定过程模型的模型参数的值,以及调整模型参数的值直至过程模型的第一成本函数被改善为止。在实施例中,第一成本函数是晶片数据2007与从过程模型(或例如在最后一次迭代处的校准后的过程模型)获得的预测晶片图案2002之间的差。在实施例中,针对图案化过程的性能参数来测量关于第一成本函数的差,所述性能参数包括特征的轮廓、临界尺寸和/或过程窗中的至少一个。在实施例中,基于轮廓差,可以将指标定义为例如预测图案与晶片数据的面积(例如矩形或圆形的面积)的差,或预测图案与晶片数据之间的边缘放置误差。换句话说,第一成本函数可以是例如预测图案与晶片数据(即印制晶片图案)的面积之间的差。由此,第一成本函数的改善是指在不同聚焦和剂量条件下减小(或最小化)模拟轮廓/cd/边缘放置与晶片轮廓/cd/边缘放置之间的差。

在实施例中,第一成本函数可以是参照过程模型结果和所测量的晶片数据2007(参照掩模图案2003作为输入而获得)确定的统计误差。例如,第一成本函数可以是针对前述差所定义的均方误差、其它统计误差或拟合优度(例如所测量的晶片数据与预测图案之间的)指标。

在实施例中,待校准的过程模型可以是掩模模型、光学模型、抗蚀剂模型和/或蚀刻模型。在实施例中,过程模型是基于物理学的模型、机器学习模型,或其组合。例如,过程模型可以是被配置成预测空间像的基于物理学的光学模型,和/或被配置成根据掩模图案2003来预测掩模图像的基于机器学习的掩模3D模型,或前述掩模模型与光学模型的组合。待校准的前述模型仅是示例性的,并且并不限制本公开的范围。

上文方法具有若干优点。因为将根据逆光刻过程所获得的准确掩模图案用作输入,所以校准后的过程模型能够更准确地预测结果(例如模拟晶片图案或空间像)。校准后的过程模型的增加的准确度有效地提供增加的良率(例如较少缺陷),并且在一些情况下对于给定过程窗提供准确印制图案。在实施例中,校准后的过程模型2009提高由逆掩模图案实现的图案覆盖度,所述逆掩模图案更接近实体掩模上所使用的实际图案。

图3是用于经由处理器(例如图9中的处理器104)来训练图案化过程的逆过程模型的方法3000的流程图。方法3000涉及获得训练集,所述训练集包括掩模图案的样本(例如呈像素化图像的形式)和与掩模图案相对应的所测量的晶片数据。训练集可以包括从经历使用样本掩模图案的图案化过程的全晶片上的不同位置提取的不同图案的部分(也称作芯片)。在实施例中,可以采用印制晶片上的多个这样的掩模图案和相应的芯片来训练逆过程模型。在实施例中,逆过程模型一般是指被配置成使用晶片目标布局或任何设计布局来预测图案形成装置图案(例如掩模图案)的模型。

方法3000涉及:根据对基于晶片目标布局(例如WLT)来预测图案形成装置图案的逆光刻过程(例如过程P301、过程P203和过程P205)的模拟,获得第一图案形成装置图案(下文中称作第一掩模图案)。

过程P301类似于上文所论述的过程P201。在过程P301中,涉及对一个或更多个过程模型的模拟的逆光刻过程的部分被配置成预测掩模图案。如先前提及的,对逆光刻过程的模拟是迭代过程(例如过程P301、过程P203和过程P205,如上文所论述的)。在实施例中,在过程P301中,涉及获得初始掩模图案3001,所述初始掩模图案可以类似于上文所论述的初始掩模图案2001。

此外,过程P301涉及经由对一个或更多个过程模型的模拟,基于初始掩模图案3001来确定晶片上的模拟晶片图案3002。在实施例中,过程模型可以是如过程P201中所论述的任何过程模型(例如掩模模型、光学器件模型等)或从上文方法2000获得的校准后的过程模型2009。因此,当在P301中采用校准后的模型2009时,模拟晶片图案3002可以类似于2002或比2002更准确。

此外,方法3000可以包括过程P203,如上文所论述的。例如,如先前所论述的,过程P203涉及评估第二成本函数,所述第二成本函数计算模拟晶片图案3002与晶片目标布局WLT之间的差。此外,方法3000也可以包括上文所论述的过程P205。例如,如上文所论述的,过程205涉及确定成本函数(例如基于轮廓、EPE、CD等)是否被改善。

响应于第二成本函数的值,过程P205(或可替代地,过程P301)涉及调整初始掩模图案3001以使得第二成本函数被改善,如先前所论述的。例如,如上文所论述的,调整是指基于第二成本函数的梯度来修改初始掩模图案3001内的特征的形状和大小。

逆光刻过程确定第一掩模图案3003,所述第一掩模图案进一步在过程P207中使用,如先前所论述的。例如,过程P207涉及接收与使用第一掩模图案3003曝光(例如经由光刻设备)的晶片相关的晶片数据3007,以及进一步进行测量以例如经由量测工具(例如SEM、YieldStar等)来获得曝光后的晶片上的晶片数据。如先前提及的,晶片数据包括但不限于与被印制在晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、特征的轮廓、边缘放置误差和/或过程窗。

过程P309涉及训练逆过程模型,所述逆过程模型被配置成使用与曝光后的晶片和第图案形成装置图案3003相关的晶片数据3007来预测第二图案形成装置图案(下文中称作第二掩模图案)。在训练过程结束时,逆过程模型变为被配置成使用晶片目标布局作为输入来预测图案形成装置图案(即第二掩模图案)的机器学习模型。本公开不限于任何特定机器学习模型。机器学习模型可以是例如神经网络、卷积神经网络(CNN)、贝叶斯(Bayesian)网络、一般化线性模型、深度学习模型或其它可以用机器学习模型。

在实施例中,机器学习模型是卷积神经网络。对基于CNN的逆过程模型的训练是迭代过程。迭代包括基于晶片数据和输入掩模图案来确定CNN的模型参数的值,以及调整模型参数的值直至卷积神经网络的第一成本函数被改善为止。例如,CNN使用晶片数据来预测掩模图案,并且将预测掩模图案与如下文所解释的第一成本函数中的输入掩模图案(即从逆光刻过程获得的逆掩模图案)进行比较。

在实施例中,第一成本函数是图案形成装置图案3003(例如输入掩模图案)与从卷积神经网络获得的预测图案形成装置图案(例如CNN的输出)之间的差。在实施例中,可以针对基于轮廓的指标、CD或其它合适的几何或过程参数定义第一成本函数。第一成本函数的改善通过修改CNN模型参数(例如权重、偏置、步幅等)的值来实现。

例如,第一成本函数可以是掩模图案3003(MP)与预测掩模图案之间的边缘放置误差。成本函数可表达为:cost=f(MP-CNN(input,cnn_parameter),其中cost可以是EPE(或EPE

P309的训练过程产生可以使用晶片目标布局作为输入来预测图案形成装置图案(在实施例中,最终掩模图案)的训练后的逆过程模型3009。在实施例中,预测掩模图案可以是不需要额外调整(例如OPC)的最终掩模图案。

图4是确定图案化过程的图案形成装置布局的方法4000的流程图。方法4000采用被配置成获得与晶片目标布局(例如设计布局)相对应的掩模图案(例如全掩模图案)的训练后的逆过程模型3009(例如,如方法3000中所论述的)。全掩模图案还可以经由校准后的过程模型2009处理以预测模拟晶片图案,可以将所述模拟晶片图案与设计布局进行比较以确定模拟图案的品质。在实施例中,模拟图案非常类似于设计布局或晶片目标布局。根据实施例,来自训练后的模型3009的掩模图案用作极好的起始点且需要最小修改(或理想地无修改),因此可以低迭代次数(例如小于5,理想地1次迭代)获得最终全掩模图案。

在实施例中,图案形成装置布局(例如掩模布局)是指与全芯片(即晶片的管芯)相对应的全掩模布局,与对应于晶片的部分的掩模图案(例如热斑图案)的部分相反。然而,方法不限于全芯片模拟(即晶片的整个管芯),本领域普通技术人员可理解,所述方法可以在不限制本公开的范围的情况下直接在芯片水平(即管芯的部分)下采用。

在过程P401中,方法4000涉及使用晶片目标布局(例如设计布局)执行训练后的逆过程模型3009以获得初始(或第一)掩模图案4001。在实施例中,初始掩模图案4001非常类似于包括例如最终曲线特征的最终掩模图案。因此,在实施例中,初始图案形成装置图案是不需要调整初始图案形成装置图案的最终图案形成装置布局。

此外,过程P403涉及经由对校准后的过程模型2009的模拟,基于初始图案形成装置图案4003和晶片目标布局4001来确定图案形成装置布局4009。如先前在图2中所论述的,基于与曝光后的晶片和图案形成装置图案相关的晶片数据根据对逆光刻过程的模拟来校准图案化过程的校准后的过程模型2009。

在实施例中,对图案形成装置布局4009的确定是迭代过程(例如P403和P405的迭代)。迭代涉及:获得初始图案形成装置图案4003;以及经由对校准后的过程模型2009的模拟,基于初始图案形成装置图案4003来确定晶片上的模拟晶片图案4002。

此外,过程P405(类似于参照方法2000论述的过程P205)涉及评估成本函数,所述成本函数计算模拟晶片图案4002与晶片目标布局4001之间的差。如先前所论述的,响应于成本函数,可以执行对初始掩模图案4003的调整。例如,过程P405(或P403)可以涉及调整初始图案形成装置图案4003以使得成本函数减小。

在实施例中,晶片可以使用全掩模图案4009来进行曝光,并且可以对印制晶片进行测量以获得晶片数据。如上文所论述的,晶片数据可以包括与被印制在晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、特征的轮廓、边缘放置误差和/或过程窗。测量数据还可以用以确定图案化过程的良率和/或缺陷。

上文方法具有若干优点。例如,使用逆光刻过程来校准校准后的模型2009,因此相较于使用单个图案校准的常规过程模型,校准后的模型2009提供优选图案覆盖度(例如准确度)。因为相较于没有通过来自逆光刻过程的逆掩模进行校准的模型,校准后的模型2009在用于逆光刻过程中时更准确,所以较快实现收敛。

此外,根据实施例,与训练后的逆过程模型3009结合使用的校准后的模型2009可以实现全芯片模拟(即经由模拟来确定全晶片图案,与使用诸如热斑图案的有限数目的图案相反)。在实施例中,全掩模布局可以在方法4000中的过程的单次迭代中获得。因此,方法(例如上文所论述的4000或3000)可以有效地增加图案覆盖度以在设计时间提供改善后的掩模布局。另外,校准后的模型以较快速率收敛至期望的结果,因此改善(或减少)总体模拟时间和资源分配。

图5A图示了使用如图3中所示的训练后的示例逆过程模型所产生的示例图案形成装置图案5009。如图5A中所示,提供设计目标或晶片目标布局5001(例如包括接触孔5003和接触孔5005)作为卷积神经网络5009的输入(训练后的过程模型3009的示例),产生曲线掩模图案5010。CNN 5009包括具有唯一权重的若干层,和/或按照图3的训练过程偏置。输入(即晶片目标布局5001)是像素化图像,像素化图像的每个像素可以根据在每个层上的卷积计算来修改以在最后一层处产生输出,即曲线掩模图案5010。曲线掩模图案5010的这种产生是单个步骤过程,与例如常规的逆OPC过程的迭代过程相反。曲线掩模图案5010可以是最终掩模图案或还可以使用校准后的过程模型2009来修改,如上文在图4中所论述的。

图5B是可以用于上文所论述方法中的晶片目标布局5010(即设计布局)的示例。使用与晶片目标布局5010相对应的掩模,晶片可以被曝光。图5C是与设计布局5010相对应的曝光后的晶片5020的SEM图像的示例。在实施例中,可以例如经由图像处理来获得晶片数据,诸如SEM图像5020内的图案的轮廓。

上文方法和由其产生的模型提供若干优点。首先,相较于传统模型,使用晶片数据的训练后的基于机器学习的逆光刻模型提供准确结果和较快执行。例如,传统迭代掩模优化过程包括两个误差源:(1)正向模型误差,这是因为每次迭代中所使用的过程模型可能并不完美;和(2)收敛误差,这是因为这种高维优化可能并不始终收敛至全局最佳解。另一方面,直接使用晶片数据训练的基于机器学习的逆模型不具有这些误差且因此可能更准确。

其次,校准后的过程模型(例如基于机器学习的模型)提供诸如以下各项的益处:(i)结果的更优拟合和准确度,和(ii)相较于基于传统物理学或经验模型的较简单的梯度计算,因而相较于基于物理学的模型中的计算梯度,计算简便。

此外,因为一个图案每芯片可能需要较大迭代次数L(例如多于100次迭代),所以常规的逆OPC可能仅覆盖掩模的关键部分(例如最可能导致晶片上的缺陷的热斑图案)。因此,针对全芯片处理数百万或甚至数十亿次芯片是计算密集型的且可能是不可行的。这样,掩模布局(例如由有限数目的芯片产生)的准确度受影响。在实施例中,可以实现总芯片的小于10%的覆盖度。使用卷积神经网络(例如训练后的逆过程模型)可以使迭代从L显著减少至M(例如小于20),从而以指数方式有效地增加可以被处理的芯片的数目。因此使得全芯片逆覆盖度产生优选准确度。

根据实施例,方法形成过程模型2009和逆过程模型3009,当所述过程模型与所述逆过程模型一起采用时可能导致可能在单个步骤中收敛,从而实现较快转回时间。

根据实施例,来自上文的模型的输出还可以用于图案化过程的其它方面(诸如可制造性)中。在实施例中,最终掩模图案可以被直接制造,或由训练后的逆过程模型产生的最终掩模图案可以被校验以确定其可制造性。在实施例中,可制造性是指由掩模写入器制造掩模本身(例如通过OPC)施加的约束。掩模制造过程(例如使用电子束写入器)可以具有限制在掩模衬底上制造图案的某些形状和/或大小的限制。在实施例中,具有曼哈顿图案的掩模图案典型地包括直线(例如目标图案的修改边缘)和以竖直或水平方式布置于目标图案周围的SRAF。这样的曼哈顿图案与曲线掩模的曲线图案相比可能相对更易于制造。

如先前提及的,最终掩模图案可以是曲线掩模,所述曲线掩模是指具有目标图案的边缘在OPC期间被修改以形成弯曲(例如多边形形状)边缘和/或弯曲SRAF的图案的掩模。归因于较大的过程窗,这样的曲线掩模可以在图案化过程期间在衬底上产生更准确且一致的图案(相较于曼哈顿图案化掩模)。然而,曲线掩模具有与可以被制造以产生曲线掩模的多边形的几何形状相关的若干制造限制,例如曲率半径、大小、拐角处的曲率等。此外,曲线掩模的制造或制造过程可以涉及“曼哈顿化”过程,其可以包括使形状破裂或断裂为较小矩形和三角形且迫对所述形状拟合以模拟曲线图案。这种曼哈顿化过程可以是时间密集型的,同时相较于曲线掩模产生较不准确的掩模。这样,设计至掩模制造时间增加,同时准确度可能降低。因此,掩模的制造限制可以视为改善准确度以及减少从设计至制造的时间;最终使得在图案化过程期间图案化衬底的良率增加。

在实施例中,可以在无曼哈顿化过程的情况下使用例如多束掩模写入器来制造曲线掩模;然而,制造曲线或多边形形状的能力可以是受限的。这样,在掩模设计过程期间需要考虑这种制造限制或其违规操作,以使得能够制造准确的掩模。

图6中图示对图案化过程(例如光刻设备中的光刻)的部分进行建模和/或模拟的示例性流程图。如将了解的,所述模型可以表示不同图案化过程且无需包括下文所描述的所有模型。源模型600表示图案形成装置的照射的光学特性(包括辐射强度分布、带宽和/或相位分布)。源模型600可以表示照射的光学特性,所述光学特性包括但不限于数值孔径设定、照射均方偏差(σ)设定以及任何特定照射形状(例如离轴辐射形状,诸如环形、四极、偶极等),其中σ(或均方偏差)是照射器的外部径向范围。

投影光学器件模型610表示投影光学器件的光学特性(包括由投影光学器件导致的对辐射强度分布和/或相位分布的改变)。投影光学器件模型610可以表示投影光学器件的光学特性,所述光学特性包括像差、变形、一个或更多个折射率、一个或更多个实体大小、一个或更多个实体尺寸等。

图案形成装置模型模块120捕获设计特征如何布置于图案形成装置的图案中,并且可以包括对图案形成装置的详细物理性质的表示,如例如在美国专利号7,587,704中所描述的。模拟的目标是准确地预测例如边缘放置和CD,随后可以将边缘放置和CD与器件设计进行比较。器件设计一般定义为预OPC图案形成装置布局,并且将以诸如GDSII或OASIS之类的标准化数字文献格式来提供。

设计布局模型620表示设计布局(例如与集成电路、存储器、电子器件等的特征相对应的器件设计布局)的光学特性(包括由给定设计布局导致的辐射强度分布和/或相位分布的改变),其是图案形成装置上或由图案形成装置形成的特征的布置的表示。设计布局模型620可以表示实体图案形成装置的一个或更多个物理性质,如例如在美国专利号7,587,704中所描述的,该美国专利以全文引用的方式并入本文中。由于可以改变用于光刻投影设备中的图案形成装置,所以期望使图案形成装置的光学性质与至少包括照射和投影光学器件的光刻投影设备的其余部分的光学性质分离。

空间像630可以根据源模型600、投影光学器件模型610和设计布局模型620来模拟。空间像(AI)是在衬底水平下的辐射强度分布。光刻投影设备的光学性质(例如照射、图案形成装置和投影光学器件的性质)决定空间像。

衬底上的抗蚀剂层通过空间像曝光,并且所述空间像被转印至抗蚀剂层作为其中的潜伏“抗蚀剂图像”(RI)。可以将抗蚀剂图像(RI)定义为抗蚀剂层中的抗蚀剂的溶解度的空间分布。抗蚀剂图像650可以根据空间像630,使用抗蚀剂模型640来模拟。抗蚀剂模型可以用以根据空间像来计算抗蚀剂图像,可以在美国专利申请公开号US2009-0157360中找到这种情形的示例,所述美国专利申请公开出版物的公开内容特此以全文引用的方式并入。抗蚀剂模型典型地描述在抗蚀剂曝光、曝光后烘烤(PEB)和显影期间出现的化学过程的效应,以便预测例如形成在衬底上的抗蚀剂特征的轮廓,并且因此其典型地仅与抗蚀剂层的这样的性质(例如在曝光、曝光后烘烤和显影期间出现的化学过程的效应)相关。在实施例中,抗蚀剂层的光学性质,例如折射率、膜厚度、传播和偏振效应——可以被捕获为投影光学器件模型610的部分。

因此,通常,光学模型与抗蚀剂模型之间的连接是抗蚀剂层内的模拟空间像强度,其起因于辐射至衬底上的投影、抗蚀剂界面处的折射和抗蚀剂膜叠层中的多个反射。辐射强度分布(空间像强度)通过入射能量的吸收变为潜伏“抗蚀剂图像”,所述潜伏抗蚀剂图像通过扩散过程和各种负载效应来进一步修改。对于全芯片应用而言足够快的高效模拟方法通过2维空间像(和抗蚀剂图像)而逼近抗蚀剂叠层中的实际3维强度分布。

在实施例中,可以将抗蚀剂图像用作图案转印后过程模型模块150的输入。图案转印后过程模型150限定一个或更多个抗蚀剂显影后过程(例如蚀刻、显影等)的性能。

图案化过程的模拟可以例如预测抗蚀剂和/或蚀刻后的图像中的轮廓、CD、边缘放置(例如边缘放置误差)等。因此,模拟的目标是准确地预测例如印制图案的边缘放置,和/或空间像强度斜率,和/或CD等。可以将这些值与预期设计进行比较以例如校正图案化过程,识别预测出现缺陷的位置等。预期设计被一般定义为:可以以诸如GDSII或OASIS或其它文献格式之类的标准化数字文献格式提供的预OPC设计布局。

因此,模型公式化描述总过程的大多数(如果不是全部)已知物理学和化学方法,并且模型参数中的每个期望对应于不同的物理或化学效应。因此,模型公式化设定关于模型可以用以模拟总制造过程的良好程度的上限。

通常通过以基于光学器件的子分辨率工具来完成例如半导体晶片的检查(亮场检查)。但在一些情况下,待测量的某些特征过小而不能使用亮场检查来有效测量。例如,半导体器件的特征中的缺陷的亮场检查可能具有挑战性。此外,随着时间推移,使用图案化过程制得的特征(例如使用光刻制得的半导体特征)变得越来越小,并且在许多情况下,特征的密度也增加。因此,使用且期望更高的分辨率检查技术。示例检查技术是电子束检查。电子束检查涉及将电子束聚焦于待检查的衬底上的小斑上。图像通过以下操作形成:在被检查的衬底的区域上在束与衬底之间提供相对移动(在下文中称作扫描电子束)且通过电子检测器收集二级和/或反向散射电子。随后处理图像数据以例如识别缺陷。

因此,在实施例中,检查设备可以是得到被曝光或转印于衬底上的结构(例如诸如集成电路之类的器件的某结构或全部结构)的图像的电子束检查设备(例如与扫描电子显微镜(SEM)相同或类似)。

图7示意性地描绘电子束检查设备200的实施例。从电子源201发射的初级电子束202通过聚光器透镜203收敛,并且随后通过束偏转器204、ExB偏转器205和物镜206以在焦点处辐照衬底台101上的衬底100。

当通过电子束202辐照衬底100时,从衬底100产生二级电子。二级电子通过ExB偏转器205偏转且由二级电子检测器207检测。可以通过与以下操作同步地检测从样本产生的电子来获得二维电子束图像:例如通过束偏转器204对电子束进行二维扫描或通过束偏转器204对电子束202在X或Y方向上重复扫描,以及通过衬底台101在X或Y方向中的另一方向上连续移动衬底100。因此,在实施例中,电子束检查设备具有用于由所述角范围限定的电子束的视场,电子束可以由电子束检查设备提供至所述角范围(例如偏转器204可从来提供电子束202的角范围)中。因此,所述视场的空间范围是电子束的角范围可以照射到表面上所达的空间范围(其中所述表面可以是静止的或可以相对于所述场移动)。

由二级电子检测器207检测的信号通过模拟/数字(A/D)转换器208转换为数字信号,并且将数字信号发送至图像处理系统300。在实施例中,图像处理系统300可以具有用以储存数字图像中的全部或部分以供由处理单元304处理的存储器303。处理单元304(例如被专门设计的硬件或硬件与软件的组合或包括软件的计算机可读介质)被配置成将数字图像转换或处理为表示数字图像的数据集。在实施例中,处理单元304被配置或被过程化以促使执行本文中所描述的方法。此外,图像处理系统300可以具有被配置成将数字图像和相应的数据集储存在参考数据库中的储存介质301。显示器件302可以与图像处理系统300连接,使得操作者可以借助于图形用户接口进行装备的必要操作。

图8示意性地图示检查设备的另一个实施例。所述系统用以检查样本平台88上的样本90(诸如衬底)且包括带电粒子束生成器81、聚光器透镜模块82、探针形成物镜模块83、带电粒子束偏转模块84、二级带电粒子检测器模块85和图像形成模块86。

带电粒子束生成器81产生初级带电粒子束91。聚光器透镜模块82将所产生的初级带电粒子束91聚光。探针形成物镜模块83将聚光后的初级带电粒子束聚焦为带电粒子束探针92。带电粒子束偏转模块84在紧固于样本平台88上的样本90上的关注的区域的表面上对所形成的带电粒子束探针92进行扫描。在实施例中,带电粒子束生成器81、聚光器透镜模块82和探针形成物镜模块83或其等效设计、替代方案或其任何组合一起形成产生扫描带电粒子束探针92的带电粒子束探针生成器。

二级带电粒子检测器模块85检测在由带电粒子束探针92轰击后从样本表面发射的二级带电粒子93(也可以能与来自样本表面的其它反射或散射带电粒子一起)以产生二级带电粒子检测信号94。图像形成模块86(例如计算装置)与二级带电粒子检测器模块85耦接以从二级带电粒子检测器模块85接收二级带电粒子检测信号94且相应地形成至少一个扫描图像。在实施例中,二级带电粒子检测器模块85和图像形成模块86或其等效设计、替代方案或其任何组合一起形成图像形成设备,所述图像形成设备根据由带电粒子束探针92轰击的从样本90发射的所检测二级带电粒子形成扫描图像。

在实施例中,监测模块87耦接至图像形成设备的图像形成模块86以对图案化过程进行监测、控制等,和/或使用从图像形成模块86所接收的样本90的扫描图像来导出用于图案化过程设计、控制、监测等的参数。因此,在实施例中,监测模块87被配置或被过程化以促使执行本文中所描述的方法。在实施例中,监测模块87包括计算装置。在实施例中,监测模块87包括用以提供本文中的功能且被编码于形成监测模块87或设置于所述监测模块内的计算机可读介质上的计算机程序。

在实施例中,如使用探针来检查衬底的图7的电子束检查工具,图8的系统中的电子电流与例如诸如图7中描绘的CD SEM相比明显更大,以使得探针斑足够大以使得检查速度可以较快。然而,归因于较大的探针斑,分辨率可能不与CD SEM一样高。在实施例中,在不限制本公开的范围的情况下,上文论述的检查设备(图7和图8中)可以是单束或多束设备。

可以处理来自例如图7和/或图8的系统的SEM图像以提取图像中描述表示器件结构的对象的边缘的轮廓。随后典型地在使用者定义的切割线处经由诸如CD之类的指标来量化这些轮廓。因此,典型地,经由指标(诸如在被提取的轮廓上测量的边缘间距离(CD)或图像之间的简单像素差)来比较且量化器件结构的图像。

现在,除了在图案化过程中测量衬底之外,通常也期望使用一个或更多个工具来产生例如可以用以对图案化过程进行设计、控制、监测等的结果。为进行这种操作,可以提供用于对图案化过程的一个或更多个方面进行计算控制、设计等的一个或更多个工具,诸如用于图案形成装置的图案设计(包括例如添加子分辨率辅助特征或光学邻近效应校正)、用于图案形成装置的照射等。因此,在用于对涉及图案化的制造过程进行计算控制、设计等的系统中,主要制造系统部件和/或过程可以通过各种功能模块描述。具体地,在实施例中,可以提供描述图案化过程的一个或更多个步骤和/或设备(典型地包括图案转印步骤)的一个或更多个数学模型。在实施例中,可以使用一个或更多个数学模型来执行图案化过程的模拟,以对图案化过程如何使用由图案形成装置提供的测量或设计图案来形成图案化衬底进行模拟。

图9是图示可以辅助实施本文中所公开的方法、流程或设备的计算机系统100的框图。计算机系统100包括用于传送信息的总线102或其它通信机构,和与总线102耦接以用于处理信息的处理器104(或多个处理器104和处理器105)。计算机系统100也包括耦接至总线102以用于储存将要由处理器104执行的信息和指令的主存储器106,诸如随机存取存储器(RAM)或其它动态存储装置。主存储器106也可以用于在将要由处理器104执行的指令的执行期间储存暂时性变量或其它中间信息。计算机系统100还包括耦接至总线102以用于储存处理器104的静态信息和指令的只读存储器(ROM)108或其它静态存储装置。提供诸如磁盘或光盘之类的存储装置110,并且所述存储装置耦接至总线102以用于储存信息和指令。

计算机系统100可以经由总线102耦接至用于向计算机用户显示信息的显示器112,诸如阴极射线管(CRT)或平板显示器或触控面板显示器。包括字母数字按键和其它按键的输入装置114耦接至总线102以用于将信息和命令选择传送至处理器104。另一类型的使用者输入装置是用于将方向信息和命令选择传送至处理器104且用于控制显示器112上的光标移动的光标控制件116,诸如鼠标、轨迹球或光标方向按键。这种输入装置典型地具有在两个轴线(第一轴(例如x)和第二轴(例如y))上的两个自由度,从而允许所述装置指定平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。

根据一个实施例,可以通过计算机系统100响应于处理器104执行主存储器106中所包含的一个或更多个指令的一个或更多个序列来执行本文中所描述中的一种或更多种方法的部分。可以将这样的指令从另一计算机可读介质(诸如存储装置110)读取至主存储器106中。主存储器106中所包含的指令序列的执行促使处理器104执行本文中所描述的过程步骤。也可以采用多处理布置的一个或更多个处理器来执行主存储器106中所包含的指令序列。在替代实施例中,可以取代或结合软件指令来使用硬布线电路。因此,本文中的描述不限于硬件电路与软件的任何特定组合。

如本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器104以供执行的任何介质。这种介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如存储装置110。易失性介质包括易失存储器,诸如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的线。传输介质也可以采用音波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间所产生的音波或光波。计算机可读介质的常见形式包括例如软盘、可挠性磁盘、硬盘、磁带、任何其它磁介质、CD-ROM、DVD、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡匣、如下文中所描述的载波或可以被计算机读取的任何其它介质。

各种形式的计算机可读介质可以涉及将一个或更多个指令的一个或更多个序列承载至处理器104以供执行。例如,初始地,可以将所述指令承载于远程计算机的磁盘上。远程计算机可以将指令装载至其易失存储器中,并且使用调制解调器在电话线上发送指令。在计算机系统100本地的调制解调器可以接收电话线上的数据,并且使用红外发射机以将数据转换为红外信号。耦接至总线102的红外检测器可以接收红外信号中承载的数据且将所述数据置于总线102上。总线102将数据承载至主存储器106,处理器104从所述主存储器获取且执行指令。由主存储器106接收的指令可以可选地在由处理器104执行之前或之后储存在存储装置110上。

计算机系统100也可以包括耦接至总线102的通信接口118。通信接口118提供对网络链路120的双向数据通信耦接,所述网络链路连接至局域网122。例如,通信接口118可以是综合业务数字网(ISDN)卡或调制解调器,以向相应类型的电话线提供数据通信连接。作为另一示例,通信接口118可以是局域网(LAN)卡以向兼容LAN提供数据通信连接。也可以实施无线链路。在任何这种实施方案中,通信接口118发送且接收承载表示各种类型的信息的数字数据流的电信号、电磁信号或光学信号。

网络链路120典型地经由一个或更多个网络而将数据通信提供至其它数据装置。例如,网络链路120可以经由局域网122向主计算机124或向由因特网服务提供商(ISP)126操作的数据装备提供连接。ISP 126转而经由全球封包数据通信网络(现在通常称作“因特网(Internet)”128)提供数据通信服务。局域网122和因特网128两者都使用承载数字数据流的电信号、电磁信号或光学信号。经由各种网络的信号和在网络链路120上且经由通信接口118的信号为输送信息的示例性形式的载波,所述信号将数字数据承载至计算机系统100且从所述计算机系统承载数字数据。

计算机系统100可以经由网络、网络链路120和通信接口118发送消息且接收包括程序代码的数据。在因特网示例中,服务器130可以经由因特网128、ISP 126、局域网122和通信接口118来传输用于应用程序的所请求码。例如,一个这样的下载的应用程序可以提供本文中所描述的方法中的全部或部分。所接收的代码可以在其被接收时由处理器104执行,和/或被储存在存储装置110或其它非易失性储存器中以供稍后执行。这样,计算机系统100可以获得呈载波形式的应用代码。

图10示意性地描绘可以结合本文中所描述的技术利用的示例性光刻投影设备。设备包括:

-照射系统IL,所述照射系统用以调节辐射束B。在这种特定情况下,照射系统也包括辐射源SO;

-第一载物台(例如图案形成装置台)MT,所述第一载物台设置有用以保持图案形成装置MA(例如掩模版)的图案形成装置保持器,并且连接至用以参照物品PS来准确地定位所述图案形成装置的第一定位器;

-第二载物台(衬底台)WT,所述第二载物台设置有用以保持衬底W(例如抗蚀剂涂覆硅晶片)的衬底保持器,并且连接至用以参照物品PS来准确地定位所述衬底的第二定位器;

-投影系统(“透镜”)PS(例如折射型、反射型或反射折射型光学系统),所述投影系统用以将图案形成装置MA的辐照部分成像至衬底W的目标部分C(例如包括一个或更多个管芯)上。

如本文中描绘的,设备属于透射类型(即具有透射型图案形成装置)。然而,通常,设备也可以属于例如反射类型(具有反射型图案形成装置)。设备可以采用与经典掩模不同种类的图案形成装置;示例包括可编程反射镜阵列或LCD矩阵。

源SO(例如汞灯或准分子激光器、LPP(激光产生等离子体)EUV源)产生辐射束。例如,这种束直接地或在已横穿诸如扩束器Ex之类的调节装置之后馈入至照射系统(照射器)IL中。照射器IL可以包括调整装置AD以用于设定束中的强度分布的外部径向范围和/或内部径向范围(通常分别称作σ外部和σ内部)。另外,所述照射器一般将包括各种其它部件,诸如积分器IN和聚光器CO。这样,照射到图案形成装置MA上的束B在其横截面中具有期望的均一性和强度分布。

关于图10应当注意,源SO可以在光刻投影设备的壳体内(这种通常为源SO是例如汞灯时的情况),但其也可以远离光刻投影设备,其产生的辐射束被引导至所述设备中(例如借助于合适的定向反射镜);这种后一情形通常为当源SO是准分子激光器(例如基于KrF、ArF或F

束PB随后截取保持在图案形成装置台MT上的图案形成装置MA。在已横穿图案形成装置MA的情况下,束B穿过透镜PL,所述透镜PL将束B聚焦至衬底W的目标部分C上。借助于第二定位装置(和干涉测量装置IF),可以准确地移动衬底台WT,例如以便将不同的目标部分C定位在束PB的路径中。类似地,第一定位装置可以用以例如在从图案形成装置库对图案形成装置MA进行机械获取之后或在扫描期间,参照束B的路径来准确地定位图案形成装置MA。通常,将借助于在图10中未明确描绘的长行程模块(粗定位)和短行程模块(精定位)来实现载物台MT、载物台WT的移动。然而,在步进器(与步进扫描工具相反)的情况下,图案形成装置台MT可以仅连接至短行程致动器,或可以被固定。

可以以两种不同模式来使用所描绘工具:

-在步进模式中,使图案形成装置台MT保持基本上静止,并且将整个图案形成装置图像一次性投影(即单次“闪光”)至目标部分C上。随后在x和/或y方向上使衬底台WT移位,以使得不同的目标部分C可以通过束PB辐照;

-在扫描模式中,除单次“闪光”中不曝光给定目标部分C以外,基本上相同的情形适用。替代地,图案形成装置台MT可以在给定方向(所谓“扫描方向”,例如y方向)上以速度v移动,以使得导致投影束B遍及图案形成装置图像进行扫描;同时,衬底台WT以速度V=Mv在相同或相反方向上同时地移动,其中M是透镜PL的放大率(典型地,M=1/4或1/5)。这样,可以在不必损害分辨率的情况下曝光相对较大的目标部分C。

图11示意性地描绘可以结合本文中所描述的技术利用的另一示例性光刻投影设备1000。

光刻投影设备1000包括:

-源收集器模块SO;

-照射系统(照射器)IL,所述照射系统被配置成调节辐射束B(例如EUV辐射);

-支撑结构(例如图案形成装置台)MT,所述支撑结构构造成支撑图案形成装置(例如掩模或掩模版)MA且连接至被配置成准确地定位图案形成装置的第一定位器PM;

-衬底台(例如晶片台)WT,所述衬底台构造成保持衬底(例如抗蚀剂涂覆晶片)W且连接至被配置成准确地定位衬底的第二定位器PW;以及

-投影系统(例如反射投影系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上。

如这里所描绘的,设备1000属于反射类型(例如采用反射型图案形成装置)。应当注意,因为大多数材料在EUV波长范围内具吸收性,所以图案形成装置可以具有包括例如钼与硅的多重叠层的多层反射器。在一个示例中,多重叠层反射器具有钼与硅的40个层对,其中每个层的厚度为四分之一波长。可以通过X射线光刻来产生甚至更小的波长。由于大部分材料在EUV和x射线波长下具吸收性,因此图案形成装置形貌上的图案化吸收材料的薄件(例如在多层反射器的顶部上的TaN吸收体)限定将在何处印制(正性抗蚀剂)或不印制(负性抗蚀剂)特征。

参考图11,照射器IL从源收集器模块SO接收极紫外辐射束。用以产生EUV辐射的方法包括但不必限于通过EUV范围内的一个或更多个发射谱线将材料转化为具有例如氙、锂或锡的元素的等离子体状态。在一种这样的方法(通常称作激光产生等离子体(“LPP”))中,可以通过使用激光束来辐照燃料(诸如具有谱线发射元素的材料小滴、流或簇)而产生等离子体。源收集器模块SO可以是包括激光器(图11中未示出)的EUV辐射系统的部分,所述激光器用于提供激发燃料的激光束。得到的等离子体发射输出辐射,例如EUV辐射,所述辐射使用设置于源收集器模块中的辐射收集器来收集。例如,当使用CO2激光器来提供用于燃料激发的激光束时,激光器和源收集器模块可以是分立的实体。

在这样的情况下,激光器不被视为形成光刻设备的部分,并且辐射束借助于包括例如合适的定向反射镜和/或扩束器的束传递系统而从激光器传递至源收集器模块。在其它情况下,例如,当源为放电产生等离子体EUV生成器(通常称作DPP源)时,源可以是源收集器模块的组成部分。

照射器IL可以包括用于调整辐射束的角强度分布的调整器。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别称作σ外部和σ内部)。另外,照射器IL可以包括各种其它部件,诸如琢面场反射镜装置和琢面光瞳反射镜装置。照射器可以用以调节辐射束以在其横截面中具有期望的均一性和强度分布。

辐射束B入射到保持在支撑结构(例如图案形成装置台)上的图案形成装置(例如掩模)MA上,并且通过图案形成装置来图案化。在从图案形成装置(例如掩模)MA反射之后,辐射束B通过投影系统PS,所述投影系统将束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置传感器PS2(例如干涉测量装置、线性编码器或电容式传感器),可以准确地移动衬底台WT,例如以便将不同的目标部分C定位在辐射束B的路径中。类似地,第一定位器PM和另一位置传感器PS1可以用以参照辐射束B的路径来准确地定位图案形成装置(例如掩模)MA。可以使用图案形成装置对准标记M1、图案形成装置对准标记M2和衬底对准标记P1、衬底对准标记P2来对准图案形成装置(例如掩模)MA和衬底W。

可以以以下模式中的至少一种模式来使用所描绘的设备1000:

1.在步进模式中,在将赋予至辐射束的整个图案一次性投影至目标部分C上时,使支撑结构(例如图案形成装置台)MT和衬底台WT保持基本上静止(即单次静态曝光)。随后使衬底台WT在X和/或Y方向上移位,以使得可以曝光不同的目标部分C。

2.在扫描模式中,在将赋予至辐射束的图案投影至目标部分C上时,同步地扫描支撑结构(例如图案形成装置台)MT和衬底台WT(即单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转特性来确定衬底台WT相对于支撑结构(例如图案形成装置台)MT的速度和方向。

3.在另一模式中,使保持可编程图案形成装置的支撑结构(例如图案形成装置台)MT保持基本上静止,并且移动或扫描衬底台WT,同时将赋予至辐射束的图案投影至目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在衬底台WT的每次移动之后或在扫描期间的连续辐射脉冲之间根据需要来更新可编程图案形成装置。这种操作模式可以易于应用于利用可编程图案形成装置(诸如上文提及的类型的可编程反射镜阵列)的无掩模光刻。

图12更详细地示出设备1000,所述设备包括源收集器模块SO、照射系统IL和投影系统PS。源收集器模块SO被构造和布置以使得可以将真空环境维持于源收集器模块SO的封闭结构220中。可以通过放电产生等离子体源来形成EUV辐射发射等离子体210。可以通过气体或蒸汽(例如Xe气体、Li蒸汽或Sn蒸汽)来产生EUV辐射,其中形成非常热的等离子体210以发射在电磁光谱的EUV范围内的辐射。例如,通过产生至少部分离子化的等离子体的放电来形成非常热的等离子体210。为了辐射的有效产生,可能需要例如10Pa分压的Xe、Li、Sn蒸汽或任何其它合适的气体或蒸汽。在实施例中,提供被激发的锡(Sn)等离子体以产生EUV辐射。

由热等离子体210发射的辐射经由定位在源腔室211中的开口中或后方的可选地可选的气体屏障或污染物阱230(在一些情况下也称作污染物屏障或箔片阱)而从源腔室211传递至收集器腔室212中。污染物阱230可以包括通道结构。污染物阱230也可以包括气体屏障或气体屏障与通道结构的组合。如本领域中已知,本文中进一步所指示的污染物阱或污染物屏障230至少包括通道结构。

收集器腔室211可以包括可以是所谓的掠入射收集器的辐射收集器CO。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。横穿收集器CO的辐射可以由光栅光谱滤光器240反射,所述光栅光谱滤光器将沿由点虚线“O”指示的光轴而聚焦在虚源点IF中。虚源点IF通常称作中间焦点,并且源收集器模块被布置成使得中间焦点IF定位在封闭结构220中的开口221处或附近。虚源点IF是辐射发射等离子体210的图像。

随后,辐射横穿照射系统IL,所述照射系统可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,所述琢面场反射镜装置和琢面光瞳反射镜装置被布置成提供在图案形成装置MA处的辐射束21的期望的角分布,以及在图案形成装置MA处的辐射强度的期望的均一性。在由支撑结构MT保持的图案形成装置MA处反射所述辐射束21后,形成图案化束26,并且由投影系统PS经由反射元件28、反射元件30将图案化束26成像至由衬底台WT保持的衬底W上。

在照射光学器件单元IL和投影系统PS中一般可以存在比所示出的元件更多的元件。根据光刻设备的类型,可以可选地存在光栅光谱滤光器240。此外,可以存在比诸图中示出的反射镜更多的反射镜,例如与图12中示出的相比,在投影系统PS中可以存在1个至6个额外的反射元件。

如图12所图示,将收集器光学器件CO描绘为具有掠入射反射器253、掠入射反射器254和掠入射反射器255的嵌套式收集器,仅仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、掠入射反射器254和掠入射反射器255被设置为围绕光轴O轴向对称,并且这种类型的收集器光学器件CO可以与通常称为DPP源的放电产生等离子体源组合使用。

可替代地,源收集器模块SO可以是如图13中所示的LPP辐射系统的部分。激光器LA被布置成将激光能量存放至诸如氙(Xe)、锡(Sn)或锂(Li)的燃料中,从而形成具有数十eV的电子温度的高度离子化等离子体210。在这些离子的去激发和再结合期间产生的高能辐射从等离子体发射、由近正入射收集器光学器件CO收集,并且聚焦至封闭结构220中的开口221上。

可以使用以下条项进一步描述实施例:

1.一种用于校准图案化过程的过程模型的方法,所述方法包括:

根据对基于晶片目标布局预测图案形成装置图案的逆光刻过程的模拟,获得所述图案形成装置图案;

经由处理器接收与使用所述图案形成装置图案曝光的晶片相对应的晶片数据;以及

经由所述处理器,基于与曝光后的晶片相关的所述晶片数据和所述图案形成装置图案来校准所述图案化过程的过程模型。

2.根据条项1所述的方法,其中校准所述过程模型是迭代过程,迭代包括:

基于所述晶片数据和所述图案形成装置图案来确定所述过程模型的模型参数的值;以及

调整所述模型参数的值,直至所述过程模型的第一成本函数被改善为止。

3.根据条项2所述的方法,其中所述第一成本函数是所述晶片数据与从所述校准后的过程模型获得的预测图案之间的差。

4.根据条项3所述的方法,其中针对所述图案化过程的性能参数来测量所述差,所述性能参数包括特征的轮廓、临界尺寸和/或过程窗中的至少一个。

5.根据条项1至4中任一项所述的方法,其中对所述逆光刻过程的模拟涉及对以下各项的模拟:

掩模模型,所述掩模模型被配置成根据所述图案形成装置图案来预测掩模图像;

光学模型,所述光学模型被配置成预测与所述图案形成装置图案相对应的空间像,

抗蚀剂模型,所述抗蚀剂模型被配置成预测与所述图案形成装置图案相对应的抗蚀剂图像;和/或

蚀刻模型,所述蚀刻模型被配置成预测与所述图案形成装置图案相对应的蚀刻图像。

6.根据条项1至5中任一项所述的方法,其中对所述逆光刻过程的模拟是迭代过程,迭代包括:

获得初始图案形成装置图案;

经由对所述过程模型的模拟,基于所述初始图案形成装置图案来确定所述晶片上的模拟晶片图案;

评估第二成本函数,其中所述第二成本函数计算所述模拟图案与所述晶片目标布局之间的差;以及

调整所述初始图案形成装置图案以使得所述第二成本函数减小。

7.根据条项1至6中任一项所述的方法,其中所述晶片数据包含与被印制在所述晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

8.根据条项1至7中任一项所述的方法,其中所述测量结果基于从电子束检查设备和/或光学检查设备获得的所述曝光后的晶片的图像。

9.根据条项8所述的方法,其中所述电子束检查设备是扫描电子显微镜。

10.根据条项1至9中任一项所述的方法,其中所述晶片目标布局包括与将被印制在经历所述图案化过程的所述晶片上的设计布局相对应的图案。

11.根据条项1至10中任一项所述的方法,其中所述过程模型是掩模模型、光学模型、抗蚀剂模型和/或蚀刻模型。

12.根据条项1至11中任一项所述的方法,其中所述过程模型是基于物理学的模型和/或机器学习模型。

13.一种用于训练图案化过程的逆过程模型的方法,所述方法包括:

根据对基于晶片目标布局预测图案形成装置图案的逆光刻过程的模拟,获得第一图案形成装置图案;

经由处理器接收与使用所述第一图案形成装置图案曝光的晶片相对应的晶片数据;以及

经由所述处理器训练逆过程模型,所述逆过程模型被配置成使用与所述曝光后的晶片相关的晶片数据和第一图案形成装置图案来预测第二图案形成装置图案。

14.根据条项13所述的方法,其中所述逆过程模型是被配置成使用所述晶片目标布局作为输入来预测所述第二图案形成装置图案的机器学习模型。

15.根据条项14所述的方法,其中所述机器学习模型是卷积神经网络。

16.根据条项15所述的方法,其中训练所述逆过程模型是迭代过程,迭代包括:

基于所述晶片数据和所述图案形成装置图案来确定所述卷积神经网络的模型参数的值;以及

调整所述模型参数的值,直至所述卷积神经网络的第一成本函数被改善为止。

17.根据条项16所述的方法,其中所述第一成本函数是所述图案形成装置图案与从所述卷积神经网络获得的预测图案形成装置图案之间的差。

18.根据条项13至17中任一项所述的方法,其中所述晶片数据包含与被印制在所述晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

19.根据条项13至18中任一项所述的方法,其中所述晶片目标布局包括与将被印制在经历所述图案化过程的所述晶片上的设计布局相对应的图案。

20.根据条项13至19中任一项所述的方法,还包括经由对所述训练后的逆过程模型的模拟,基于给定晶片目标布局来预测图案形成装置图案。

21.一种用于确定图案化过程的图案形成装置布局的方法,所述方法包括:

从根据晶片目标布局预测初始图案形成装置图案的训练后的逆过程模型,获得所述初始图案形成装置图案;

经由对校准后的过程模型的模拟,基于所述初始图案形成装置图案和晶片目标布局来确定图案形成装置布局。

22.根据条项21所述的方法,其中确定所述图案形成装置布局是迭代过程,迭代包括:

获得所述初始图案形成装置图案;

经由对所述校准后的过程模型的模拟,基于所述初始图案形成装置图案来确定所述晶片上的模拟晶片图案;

评估成本函数,其中所述成本函数计算所述模拟图案与所述晶片目标布局之间的差;以及

调整所述初始图案形成装置图案以使得所述成本函数减小。

23.根据条项21至22中任一项所述的方法,其中基于与所述曝光后的晶片和所述图案形成装置图案相关的晶片数据,根据对逆光刻过程的模拟来校准所述图案化过程的所述校准后的过程模型。

24.根据条项21至23中任一项所述的方法,其中所述晶片数据包含与被印制在所述晶片上的特征相关的测量结果,所述测量结果包括临界尺寸、所述特征的轮廓和/或过程窗。

25.根据条项21所述的方法,其中所述初始图案形成装置图案是不需要调整所述初始图案形成装置图案的最终图案形成装置布局。

本文中所公开的概念可以对用于使子波长特征成像的任何通用成像系统进行模拟或数学建模,并且尤其可用于能够产生越来越短的波长的新兴成像技术。已经在使用中的新兴技术包括能够通过使用ArF激光器来产生193nm波长且甚至能够通过使用氟激光器来产生157nm波长的EUV(极紫外线)、DUV光刻。此外,EUV光刻能够通过使用同步加速器或通过使用高能电子来射到材料(固体或等离子体)而产生在20nm至5nm的范围内的波长,以便产生这里范围内的光子。

虽然本文中所公开的概念可以用于在诸如硅晶片之类的衬底上成像,但应理解,所公开的概念可以与任何类型的光刻成像系统一起使用,例如用于在除硅晶片外的衬底上成像的那些光刻成像系统。

上文描述意图为说明性而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐明的权利要求的范围的情况下如所描述的来做出修改。

去获取专利,查看全文>

相似文献

  • 专利
  • 中文文献
  • 外文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号