掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Emerging Lithographic Technologies
Conference on Emerging Lithographic Technologies
召开年:
2008
召开地:
San Jose,CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
507
条结果
1.
Combined Extension of Conservation Tillage and Maize Mechanized Harvesting Technology
机译:
保护性耕作与玉米机械化收获技术的联合推广
作者:
TIAN Wenli
;
WU Yiqiang
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Maize mechanized harvesting technology;
Mechanization;
Extension;
2.
A Preliminary Analysis of the Reasons and Countermeasures of the Mechanized Conversation Tillage Technology Being not Popularizated
机译:
机械化会话耕作技术不普及的原因及对策初探
作者:
SHA JingHua
;
SU YongQiang
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Mechanized conversation tillage;
No-till drill;
Agriculture sustainable development;
Countermeasure;
3.
Research on the Technique of Conservation Tillage in Various Areas in Shanxi Province
机译:
山西省各地保护性耕作技术研究
作者:
ZHAO Cuiqin
;
YIN Jun
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Technique;
Equipment;
Research;
4.
Practice and Pondering on the Establishment of the CT Lasting Effect Mechanism
机译:
建立CT持久效应机制的实践与思考
作者:
WU Jisong
;
LIU Fei
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Lasting effect mechanism;
Practice;
Pondering;
5.
Study on Conservation Tillage Technique in Gully Area of the Loess Plateau of Longdong in Gansu Province
机译:
甘肃dong东黄土高原沟壑区保护性耕作技术研究。
作者:
WANG Weihong
;
LIN Yubing
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
6.
Breaking the Limits: Combination of Electron Beam Lithography and Nanolmprint Lithography for Production of Next Generation Magnetic Media and Optical Media
机译:
突破极限:结合电子束光刻和纳米印迹光刻技术来生产下一代磁性介质和光学介质
作者:
Babak Heidari
;
Marc Beck
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nano lithography;
nano imprint lithography;
electron-beam;
hard disk;
optical disk;
pattern media;
dtr;
BPM;
7.
Research Development on Wheat No-Tillage Seeders in Annual Double Cropping System in Central China
机译:
华中地区年度双季小麦免耕播种机的研究与开发
作者:
JIA Yanling
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Double cropping;
No-till wheat seeder;
8.
An Approach on the Practice and Development of Conservation Tillage in Ningxia Hui Autonomous Region
机译:
宁夏保护性耕作的实践与发展探讨。
作者:
ZHAO Jianna
;
WU Zhuozheng
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Ningxia;
conservation tillage;
practice, development;
9.
Progress on Xe-DPP source development for Alpha phase
机译:
Alpha相Xe-DPP源开发的进展
作者:
Masaki Yoshioka
;
Denis Bolshukhin
;
Guido Hergenhan
;
Juergen Kleinschmidt
;
Vladimir Korobochko
;
Guido Schriever
;
Max. C. Schuermann
;
Chinh Due Tran
;
Christian Ziener
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv sources;
gas discharge produced plasma;
z-pinch;
xenon;
euv lithography;
10.
Smoothing properties of single- and multilayer coatings, a method to smoothen substrates
机译:
单层和多层涂层的平滑特性,一种平滑基材的方法
作者:
A.J.R. van den Boogaard
;
E. Louis
;
E. Zoethout
;
S. Alonso van der Westen
;
F. Bijkerk
;
S. Muellender
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
mo/si multilayers;
euv lithography;
roughness;
smoothening;
11.
Chemical and mechanical properties of UV-cured nanoimprint resistsand release layer interactions
机译:
紫外线固化的纳米压印抗蚀剂的化学和机械性能与脱模层之间的相互作用
作者:
Frances A. Houle
;
Ann Fornof
;
Dolores C. Miller
;
Simone Raoux
;
Hoa Truong
;
Eva Simonyi
;
Christopher Jahnes
;
Stephen Rossnagel
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
uv-cure nanoimprint;
adhesion;
metal-containing release layers;
fluorosilane release layer;
12.
Experimental Investigation on Energy Saving of Controlled Traffic Conservation Tillage
机译:
控制性耕作耕作节能试验研究
作者:
XIONG Guobao
;
ZOU Xiaoming
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Controlled traffic;
Soil compaction;
Fuel consumption;
Energy saving;
13.
Develop Conservation Tillage Technology Positively and Advance Transform of Agricultural Tillage Method Soundly
机译:
积极发展保护性耕作技术切实推进农业耕作方法的变革。
作者:
ZOU Xiaoling
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Central region;
Conservative tillage;
Development way;
Explore;
14.
Comparative Experimental Research on Henan CT Technology
机译:
河南CT技术的对比实验研究
作者:
WU Yiqiang
;
PENG Wanxi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
CT;
Comparative;
Experiment;
Research;
15.
Review of Rice Production under Conservation Tillage on Double Rice Paddy Soil in Hunan Province
机译:
湖南省双稻田土壤保护性耕作水稻的研究进展
作者:
LIU Yaobin
;
JIANG Xiaolu
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
paddy field;
minimum/zero tillage;
cultivation;
conservation tillage;
tactics;
16.
Research and Extension of Conservation Tillage Technology in Sichuan Basin of China
机译:
中国四川盆地保护性耕作技术的研究与推广
作者:
XU Kejian
;
WANG Yan
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Sichuan Basin;
Conservation tillage research;
History;
Technological mode;
17.
The Developing Strategy and Countermeasure of Conservative Tillage System
机译:
保护性耕作制度的发展策略与对策
作者:
LI Xiaoyin
;
GUO Dazhi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Agriculture;
Conservative farming system;
Developing strategy;
Countermeasure;
18.
Study on Maize Planting Technique of Wide/Narrow Alternation with High Stuble (MPWNL)4
机译:
高桩宽/窄轮作玉米种植技术研究(MPWNL)4
作者:
ZHANG Zhanlu
;
CAO Jun
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Maize;
Technique of widearrow;
High stuble;
Planting technique of alternation;
Research;
19.
Study on Minimum Tillage with Water Saving Technology and Implement for Paddy Field
机译:
节水技术最小耕作研究与稻田实施。
作者:
DENG He-ping
;
PENG Wan-xi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Paddy conservation tillage;
Minimum tillage;
Water-saving;
Cost reduction and income increment;
20.
Prospects and Present Situation of Conservation Tillage in Shandong Province
机译:
山东省保护性耕作的前景与现状
作者:
ZHANG Shoubin
;
QIU Liping
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Status;
Question;
Countermeasure;
21.
Study Manufacture of the Double Usage No-till Sewing Machine for Wheat-Maize
机译:
小麦-玉米双用免耕缝纫机的研制
作者:
HE Limei
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Agriculcture Engineering;
Conservation Tillage;
Sewing Machine;
Design;
22.
Research on Protective Cultivation Development Strategy of Qingdao City
机译:
青岛市保护性耕作发展战略研究
作者:
JIANG Wei
;
GAO Weidong
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Mechanization of Agriculture;
Protective Cultivation;
Development Strategy;
23.
Quantitative measurement of outgas products from EUV photoresists
机译:
定量测量EUV光刻胶中的废气产品
作者:
C. Tarrio
;
B. A. Benner
;
R. E. Vest
;
S. Grantham
;
S. B. Hill
;
T. B. Lucatorto
;
J. H. Hendricks
;
P. Abbott
;
G. Denbeaux
;
C. Mbanaso
;
A. Antohe
;
K. Orvek
;
K-W. Choi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet lithography;
euv optics;
resist outgassing;
carbon growth;
optics lifetime;
24.
Extreme ultraviolet resist outgassing and its effect on nearby optics
机译:
极高的抗紫外线除气能力及其对附近光学器件的影响
作者:
Gregory Denbeaux
;
Rashi Garg
;
Chimaobi Mbanaso
;
Justin Waterman
;
Leonid Yankulin
;
Alin Antohe
;
Yu-Jen Fan
;
Warren Montgomery
;
Kim Dean
;
Kevin Orvek
;
Andrea Wiiest
;
Yayi Wei
;
Frank Goodwin
;
Obert Wood
;
Chiew-Seng Koay
;
Eric Gullikson
;
Andy Aquila
;
Charles Tarrio
;
Steven Grantham
;
Sasa Bajt
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet;
EUV;
outgassing;
optics contamination;
witness plate;
25.
CO_2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography
机译:
CO_2激光生产的Sn等离子体源,用于大批量生产EUV光刻
作者:
Akira Endo
;
Hideo Hoshino
;
Takashi Suganuma
;
Krzysztof Nowak
;
Tatsuya Yanagida
;
Takayuki Yabu
;
Takeshi Asayama
;
Yoshifumi Ueno
;
Masato Moriya
;
Masaki Nakano
;
Hiroshi Someya
;
Toshihiro Nishisaka
;
Tamotsu Abe
;
Georg Soumagne
;
Hiroshi Komori
;
Hakaru Mizoguchi
;
Akira Sumitani
;
Koichi Toyoda
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv light source;
laser produced plasma;
co_2 laser;
26.
Development status of projection optics and illumination opticsfor EUV1
机译:
EUV1的投影光学和照明光学的发展现状
作者:
Katsuhiko Murakami
;
Tetsuya Oshino
;
Hiroyuki Kondo
;
Hiroshi Chiba
;
Hideki Komatsuda
;
Kazushi Nomura
;
Hiromitsu Iwata
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
projection optics;
illumination optics;
aspheric mirrors;
multilayer coatings;
27.
Fabrication and test of nano crossbar switches/MOSFET hybrid circuits by imprinting lithography
机译:
压印光刻技术制造和测试纳米纵横制开关/ MOSFET混合电路
作者:
Zhiyong Li
;
Xuema Li
;
Douglas A. A. Ohlberg
;
Joseph Straznicky
;
Wei Wu
;
Zhaoning Yu
;
Julien Borghetti
;
William Tong
;
Duncan Stewart
;
R. Stanley Williams
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
28.
Devote Major Effects to Push the Application of Conservation Tillage in China
机译:
发挥重大作用推动我国保护性耕作的应用。
作者:
LI Jianghua
;
HU Jiangfeng
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Extension and application;
29.
Experimental Study on Processing Equipment and Technology of Mulching Surface with Corn's Straw and Roots
机译:
玉米秸秆根系地膜覆盖的加工设备及工艺试验研究
作者:
YAN Bo
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage (CT);
Straw and stubble mulch;
Surface processing;
Soil temperature;
Herbicide;
30.
The Study on Technical Mode and Development Strategy of Conservation Tillage in Beijing
机译:
北京保护性耕作技术模式与发展策略研究
作者:
ZHANG Sheng
;
WANG Qianhong
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Beijing;
Conservation tillage;
Technical mode;
Strategy;
31.
Study on Irrigation Pattern in Conservation
机译:
保护性灌溉方式研究
作者:
HAO Binbin
;
WANG Chunhong
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
32.
Studies on the Weed Developing Regularity and Controlling Technique for Agri-Grazing-Ecotone under Conservation Tillage in Rape Field
机译:
油菜保护性耕作下农牧交错草除草规律及防治技术研究
作者:
YE Ping
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Agri-grazing-ecotone;
Conservation Tillage;
Rape field;
Weed controlling;
33.
Effects of Conservation Tillage of Soil Porosity of Maize-Wheat Cropping System
机译:
保护性耕作对玉米-小麦种植系统土壤孔隙度的影响
作者:
QU Shisong
;
WANG Weiping
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Soil tillage;
Maize-wheat cropping system;
Soil porosity;
Affecting force;
34.
Investigations on the Practices and Theories of Conservation Agriculture in Shandong Province
机译:
山东省保护性农业实践与理论调查
作者:
WANG Hongshu
;
SONG Dong-ning
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation agriculture;
Technology;
Production mode;
Effectiveness;
35.
Shaped Beam Technique Using a Novel 3rd-Order Imaging Approach
机译:
使用新型三阶成像方法的异形光束技术
作者:
Tadashi Kotsugi
;
Takashi Fuse
;
Hidetoshi Kinoshita
;
N. William Parker
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
electron beam direct writing (ebdw);
high-throughput;
3rd-order imaging;
spherical aberration;
36.
Projection Mask-Less Lithography (PML2): Proof-of-Concept Setup and first experimental Results
机译:
投影式无罩光刻技术(PML2):概念验证装置和第一个实验结果
作者:
C. Klein
;
E. Platzgummer
;
H. Loeschner
;
G. Gross
;
P. Dolezel
;
M. Tmej
;
V. Kolarik
;
W. Klingler
;
F. Letzkus
;
J. Butschke
;
M. Irmscher
;
M. Witt
;
W. Pilz
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
maskless lithography;
parallel e-beam systems;
electron beam direct write;
37.
EUV pattern shift compensation strategies
机译:
EUV模式偏移补偿策略
作者:
T. Schmoeller
;
T. Klimpel
;
I. Kim
;
G.F. Lorusso
;
A. Myers
;
R. Jonckheere
;
A.M. Goethals
;
K. Ronse
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv pattern shift;
mask focus shift;
mask topography effects;
euv shadowing;
38.
Canon's Development Status of EUVL Technologies
机译:
佳能EUVL技术的发展状况
作者:
Shigeyuki Uzawa
;
Hiroyoshi Kubo
;
Yoshinori Miwa
;
Toshihiko Tsuji
;
Hideki Morishima
;
Kazuhiko Kajiyama
;
Takayuki Hasegawa
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
lithography;
exposure tool;
wavefront;
aberration;
39.
Proton Beam Writing: a Platform Technology for Nano-integration
机译:
质子束书写:纳米集成的平台技术
作者:
J.A. van Kan
;
F. Zhang
;
A.A. Bettiol
;
F. Watt
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
proton beam writing;
direct write;
high aspect ratio;
nanolithography;
nanowires;
40.
Study of nanoimprint applications toward 22nm node CMOS devices
机译:
纳米压印技术对22nm节点CMOS器件的研究
作者:
Ikuo Yoneda
;
Shinji Mikami
;
Takumi Ota
;
Takeshi Koshiba
;
Masamitsu Ito
;
Tetsuro Nakasugi
;
Tatsuhiko Higashiki
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
s-fil;
cmos devices;
template;
41.
The Soil and Water Conservation Effects and Key Issues by Protective Cultivation in the Loess Plateau
机译:
黄土高原水土保持的保护效应及关键问题
作者:
LIU Jian He
;
CHEN Keyi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
the Loess Plateau;
Protective Cultivation;
Soil and Water Conservation Effect;
42.
Carbon Dioxide Emissions after Application of Different Tillage Systems for Loam in Northern China
机译:
北方耕作耕作制度不同耕作后的二氧化碳排放量
作者:
ZHANG Ke
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
CO,2 flux;
Inter wheat;
Conventional tillage;
Rotary tillage;
No tillage;
43.
Research and Application of Technology System of Protective Cultivation of One-year Wheat-Corn Rotation in Henan Province
机译:
河南省一年玉米玉米轮作保护性栽培技术体系的研究与应用
作者:
GONG Jing
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Protective cultivation;
Technology System;
Research;
Application;
44.
Patterning Fidelity on Low-Energy Multiple-Electron-Beam DirectWrite Lithography
机译:
低能量多电子束DirectWrite光刻上的图案保真度
作者:
S.M. Chang
;
S.J. Lin
;
C.A. Lin
;
J.H. Chen
;
T.S. Gau
;
Burn J. Lin
;
P. Veltman
;
R. Hanfoug
;
E. Slot
;
M. J. Wieland
;
B J. Kampherbeek
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
e-beam direct writing;
e-beam lithography;
mebdw;
proximity effect;
PEC;
HSQ;
45.
MAPPER: HIGH THROUGHPUT MASKLESS LITHOGRAPHY
机译:
MAPPER:高通透的无光刻术
作者:
E. Slot
;
M.J. Wieland
;
G. de Boer
;
P. Kruit
;
G.F. ten Berge
;
A.M.C. Houkes
;
R. Jager
;
T. van de Peut
;
J.J.M. Peijster
;
S.W.H.K. Steenbrink
;
T.F. Teepen
;
A.H.V. van Veen
;
B.J. Kampherbeek
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
massively parallel electron beam lithography;
maskless lithography;
beam uniformity;
high throughput;
mapper;
demonstrator results;
proof of concept;
46.
A method for fabricating below 22nm feature patterns in quartz mold
机译:
一种在石英模具中制造22nm以下特征图案的方法
作者:
Atsunori Terasaki
;
Junichi Seki
;
Haruhito Ono
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint;
mold;
quartz;
CR;
reverse eb process;
resolution;
etching selectivity;
sog;
47.
Study of system performance in SFET
机译:
SFET中系统性能的研究
作者:
Naosuke Nishimura
;
Gaku Takahashi
;
Toshihiko Tsuji
;
Hideki Morishima
;
Kazuhiko Kajiyama
;
Shigeyuki Uzawa
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv exposure tools;
sfet;
system performance;
dose uniformity;
48.
Lifetime of EUVL masks as a function of degree of carbon contamination and capping materials
机译:
EUVL面罩的使用寿命取决于碳污染和覆盖材料的程度
作者:
Sungmin Huh
;
Hoon Kim
;
Gisung Yoon
;
Jaehyuck Choi
;
Han-Shin Lee
;
Dong Gun Lee
;
Byungsup Ahn
;
Hwan-Seok Seo
;
Dongwan Kim
;
Seong Sue Kim
;
Han Ku Cho
;
Takeo Watanabe
;
Hiroo Kinoshita
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV;
mask;
lifetime;
carbon contamination;
si/ru capping layer;
met;
49.
Thermal and Optical Characterization of Collectors Integrated in a Sn-DPP based SoCoMo
机译:
集成在基于Sn-DPP的SoCoMo中的集热器的热和光学特性
作者:
Giovanni Bianucci
;
Adam Brunton
;
Gian Luca Cassol
;
Giorgio Pirovano
;
Fabio Zocchi
;
Arnaud Mader
;
Oliver Franken
;
Klaus Bergmann
;
Hans Scheuermann
;
Peter Zink
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
collector;
dpp source;
collection efficiency;
thermal management;
extreme ultra-violet lithography;
50.
Gate Edge Roughness in Electron Beam Direct Write and its Influence to Device Characteristics
机译:
电子束直接写入的栅极边缘粗糙度及其对器件特性的影响
作者:
Kang-Hoon Choi
;
Rok Dittrich
;
Matthias Goldbach
;
Christoph Hohle
;
Katja Keil
;
Thomas Marschner
;
Mark Tesauro
;
Frank Thrum
;
Roy Zimmermann
;
Johannes Kretz
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
electron beam direct write;
line edge roughness;
line width roughness;
power spectrum density;
cd-sem metrology;
electrical characteristics;
51.
Sub-wavelength optical diffraction and photoacoustic metrologies for the characterisation of nanoimprinted structures
机译:
亚波长光学衍射和光声学用于表征纳米压印结构
作者:
T. Kehoe
;
J. Bryner
;
V. Reboud
;
N. Kehagias
;
S. Landis
;
C. Gourgon
;
J. Vollmann
;
J. Dual
;
C.M. Sotomayor Torres
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
metrology;
subwavelength;
diffraction grating;
photoacoustic;
polymer patterning;
52.
Full field imprinting of sub-40 nm patterns
机译:
40纳米以下图案的全场印迹
作者:
Jeongho Yeo
;
Hoyeon Kim
;
Ben Eynon
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
s-fil;
imprint mask;
imprint lithography;
full field;
overlay;
pattern transfer;
nand flash;
53.
Exploration and Application of Mechanized Conservation Agriculture Techniques in Paddy-wheat Rotation Areas in South China
机译:
南方稻麦轮作区机械化保护性农业技术的探索与应用
作者:
ZHU Qirong
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Paddy-wheat Rotation Areas in South China;
Conservation Agriculture;
Study and Experiment;
Case Study;
54.
Conservative Tillage for Soil Conservation with Stubble in Sandstorm Area along the Great Wall of China
机译:
中国长城沙尘暴区留茬保护性耕作的研究。
作者:
ZHENG Jiliang
;
CHEN Weiping
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Sandstorm Area along the Great Wall;
Conservative Tillage;
Technical mode;
Experimental study;
55.
Shot Minimization for Throughput Improvement of Character Projection Electron Beam Direct Writing
机译:
压射最小化以改善字符投影电子束直写的吞吐量
作者:
Hai Pham Dinh Minh
;
Tetsuya Iizuka
;
Makoto Ikeda
;
Kunihiro Asada
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
mimization;
eb shot count;
character projection;
electron beam direct writing;
metal layout;
integer linear programming;
56.
High Throughput Maskless Lithography: Low Voltage versus HighVoltage
机译:
高通量无掩模光刻:低压与高压
作者:
S.W.H.K. Steenbrink
;
B.J. Kampherbeek
;
M. J. Wieland
;
J.H. Chen
;
S.M. Chang
;
M. Pas
;
J. Kretz
;
C. Hohle
;
D. van Steenwinckel
;
S. Manakli
;
J. Le-Denmat
;
L. Pain
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
maskless lithography;
high throughput maskless lithography;
e-beam lithography;
low voltage e-beam high voltage e-beam;
57.
Aberration Budget in Extreme Ultraviolet Lithography
机译:
极紫外光刻中的像差预算
作者:
Yumi Nakajima
;
Takashi Sato
;
Ryoichi Inanami
;
Tetsuro Nakasugi
;
Tatsuhiko Higashiki
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv lithography;
aberration tolerance;
zernike sensitivity;
mask-induced aberration;
58.
Smoothing Based Model for Images of Isolated Buried EUV MultilayerDefects
机译:
基于平滑的孤立埋入式EUV多层缺陷图像模型
作者:
Chris H. Clifford
;
Andrew R. Neureuther
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv mask;
buried defect;
defective multilayer;
defect feature interaction;
fast simulation;
ray tracing;
single surface approximation;
59.
Carbon contamination of EUV mask: film characterization, impact on lithographic performance, and cleaning
机译:
EUV掩模的碳污染:薄膜特性,对光刻性能的影响以及清洁
作者:
Yasushi Nishiyama
;
Toshihisa Anazawa
;
Hiroaki Oizumi
;
Iwao Nishiyama
;
Osamu Suga
;
Kazuki Abe
;
Satoru Kagata
;
Akira Izumi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV;
mask;
contamination;
cleaning;
60.
Mo/Si multilayers with enhanced TiO_2- and RuO_2-capping layers
机译:
具有增强的TiO_2和RuO_2覆盖层的Mo / Si多层
作者:
Sergiy Yulin
;
Nicolas Benoit
;
Torsten Feigl
;
Norbert Kaiser
;
Ming Fang
;
Manish Chandhok
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
mo/si multilayer;
capping layer;
tio_2;
ruo_2;
surface oxidation;
carbon growth;
optics lifetime;
61.
Radiation-induced defect formation and reactivity of model TiO_2 capping layers with MMA: a comparison with Ru
机译:
MMA对TiO_2模型盖层的辐射诱导缺陷形成和反应性:与Ru的比较
作者:
B. V. Yakshinskiy
;
M. N. Hedhili
;
S. Zalkind
;
M. Chandhok
;
Theodore E. Madey
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet lithography (euvl);
euv optics contamination;
euv optics lifetime;
tio_2;
ruthenium;
methyl methacrylate (mma);
electron-induced reactions;
carbon;
62.
The Use of EUV Lithography to Produce Demonstration Devices
机译:
使用EUV光刻技术生产演示装置
作者:
Bruno La Fontaine
;
Yunfei Deng
;
Ryoung-han Kim
;
Harry J. Levinson
;
Sarah McGowan
;
Uzodinma Okoroanyanwu
;
Rolf Seltmann
;
Cyrus Tabery
;
Anna Tchikoulaeva
;
Tom Wallow
;
Obert Wood
;
John Arnold
;
Don Canaperi
;
Matthew Colburn
;
Kurt Kimmel
;
Chiew-Seng Koay
;
Erin Mclellan
;
Dave Medeiros
;
Satyavolu Papa Rao
;
Karen Petrillo
;
Yunpeng Yin
;
Hiroyuki Mizuno
;
Sander Bouten
;
Michael Crouse
;
Andre van Dijk
;
Youri van Dommelen
;
Judy Galloway
;
Sang-In Han
;
Bart Kessels
;
Brian Lee
;
Sjoerd Lok
;
Brian Niekrewicz
;
Bill Pierson
;
Robert Routh
;
Emil Schmit-Weaver
;
Kevin Cummings
;
James Word
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv lithography;
euv device demonstration;
euv integration;
euv transistors;
euv opc;
euv mask;
euv resist process;
euv defectivity;
euv resist process;
63.
Imaging performance of the EUV alpha demo tool at IMEC
机译:
IMEC的EUV alpha演示工具的成像性能
作者:
G. F. Lorusso
;
J. Hermans
;
A. M. Goethals
;
B. Baudemprez
;
F. Van Roey
;
A. M. Myers
;
I. Kim
;
B. S. Kim
;
R. Jonckheere
;
A. Niroomand
;
S. Lok
;
A. Van Dijk
;
J. -F. de Marneffe
;
S. Demuynck
;
D. Goossens
;
K. Ronse
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv lithography;
shadowing;
flare;
64.
Nikon EUVL development progress update
机译:
尼康EUVL开发进度更新
作者:
Takaharu Miura
;
Katsuhiko Murakami
;
Kazuaki Suzuki
;
Yoshiaki Kohama
;
Kenji Morita
;
Kazunari Hada
;
Yukiharu Ohkubo
;
Hidemi Kawai
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
extreme ultra violet lithography;
euv exposure tool;
euv pre-production tool;
euva project;
65.
EBDW technology for EB shuttle at 65nm node and beyond
机译:
用于65纳米及以上节点的EB穿梭的EBDW技术
作者:
T. Maruyama
;
M. Takakuwa
;
Y. Kojima
;
Y. Takahashi
;
K. Yamada
;
J. Kon
;
M. Miyajima
;
A. Shimizu
;
Y. Machida
;
H. Hoshino
;
H. Takita
;
S. Sugatani
;
H. Tsuchikawa
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
ebdw;
65nm;
character projection;
block exposure;
300mm;
master block;
partial block;
collapse;
resist sensitivity;
roughness;
66.
Effects of Controlled Traffic on Infiltration under Simulate Rainfall
机译:
模拟降雨下受控交通对入渗的影响
作者:
WANG LiPing
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Controlled traffic;
Soil compaction;
Infiltration;
Runoff;
Rainfall simulator;
Wheel traffic;
Conservation tillage;
Residue cover;
67.
Research on Anti-clogging Structure in No-Tillage Seeder and Supporting Operation Techniques
机译:
免耕播种机防堵塞结构及配套操作技术研究
作者:
PENG Wanxi
;
WU Yiqiang
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
No-tillage;
Seeder;
Seeding;
Implements;
Operation;
68.
Porosity Characteristics of Ultra-low Dielectric Insulator Films Directly Patterned by Nanoimprint Lithography
机译:
纳米压印光刻直接图案化的超低介电绝缘膜的孔隙率特性
作者:
Hyun Wook Ro
;
Ronald L. Jones
;
Huagen Peng
;
Hae-Jeong Lee
;
Eric K. Lin
;
Alamgir Karim
;
Do Y. Yoon
;
David W. Gidley
;
Christopher L. Soles
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
organosilicate;
pmsq;
direct nil patterning;
pals;
x-ray reflectivity;
xrp;
69.
Etching of 42 nm and 32 nm Half-Pitch Features Patterned Using Stepand Flash~R Imprint Lithography
机译:
使用Stepand Flash〜R压印光刻技术构图的42 nm和32 nm半间距特征的蚀刻
作者:
Cynthia B. Brooks
;
Dwayne L. LaBrake
;
Niyaz Khusnatdinov
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
step and flash imprint lithography;
s-fil;
nanoimprint lithography;
imprint lithography;
hardmask etch;
imprint resist volume;
residual layer;
70.
Evaluation of EUV resist materials for use at the 32 nm half-pitch node
机译:
评估用于32 nm半间距节点的EUV抗蚀剂材料
作者:
Thomas Wallow
;
Craig Higgins
;
Robert Brainard
;
Karen Petrillo
;
Warren Montgomery
;
Chiew-seng Koay
;
Greg Denbeaux
;
Obert Wood
;
Yayi Wei
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet lithography;
EUV;
photoresist;
performance;
scaling;
LIMITS;
71.
Resolution, LER and Sensitivity Limitations of Photoresist
机译:
光刻胶的分辨率,LER和感光度限制
作者:
Gregg M. Gallatin
;
Patrick Naulleau
;
Dimitra Niakoula
;
Robert Brainard
;
Elsayed Hassanein
;
Richard Matyi
;
Jim Thackeray
;
Kathleen Spear
;
Kim Dean
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
72.
Effects of aberration and flare on lithographic performance of SFET
机译:
像差和耀斑对SFET光刻性能的影响
作者:
Yuusuke Tanaka
;
Hajime Aoyama
;
Kazuo Tawarayama
;
Shunko Magoshi
;
Seiichiro Shirai
;
Hiroyuki Tanaka
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
sfet;
annular;
image contrast;
aberration;
astigmatic;
focus;
flare;
kirk method;
PSF;
73.
Accelerated lifetime metrology of EUV multilayer mirrors in hydrocarbon environments
机译:
碳氢化合物环境中EUV多层反射镜的加速寿命计量
作者:
S. B. Hill
;
N. S. Faradzhev
;
C. Tarrio
;
T. B. Lucatorto
;
T. E. Madey
;
B. V. Yakshinskiy
;
E. Loginova
;
S. Yulin
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet;
lithography;
reflectometry;
euv optics;
ruthenium films;
lifetime testing;
74.
Parametric Tradeoffs in Laser Plasma Sources for EUV Lithography:Debris versus Radiators
机译:
EUV光刻的激光等离子源的参数权衡:碎片与辐射器
作者:
Davide Bleiner
;
Bob Rollinger
;
Reza S. Abhari
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv source;
lithography;
laser plasma;
debris;
energy conversion efficiency;
cost of ownership;
laser technology;
75.
Minimizing Linewidth Roughness for 22-nm node Patterning with Step-and-Flash Imprint Lithography
机译:
使用步进式和闪存压印光刻技术将22nm节点图案的线宽粗糙度降至最低
作者:
Gerard M. Schmid
;
Niyaz Khusnatdinov
;
Cynthia B. Brooks
;
Dwayne LaBrake
;
Ecron Thompson
;
Douglas J. Resnick
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
s-fil;
imprint mask;
template;
imprint lithography;
linewidth roughness;
LWR;
LER;
76.
Integrated Eco-Economy Evaluation on Conservational Farming System (CFS)-a Case Study of Paddy Field in Southern China
机译:
保护性耕作制度的综合生态经济评价-以中国南方稻田为例
作者:
MA Qingzhi
;
PENG Wanxi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation Farming System;
Integrated Eco-economy Evaluation;
Index system;
Weight;
77.
Effects of No-tillage on Soil Properties Affecting Wind Erosion during Fallow in Semiarid Inner Mongolia, China
机译:
免耕对内蒙古半干旱地区休耕期土壤性质的影响
作者:
LI Long
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
No-tillage;
Plough tillage;
Soil properties;
Wind erosion;
78.
MAGIC: a European program to push the insertion of maskless lithography
机译:
MAGIC:一项推动插入无掩模光刻的欧洲计划
作者:
L. Pain
;
B. Icard
;
S. Tedesco
;
B. Kampherbeek
;
G. Gross
;
C. Klein
;
H. Loeschner
;
E. Platzgummer
;
R. Morgan
;
S. Manakli
;
J. Kretz
;
C. Holhe
;
K-H Choi
;
F. Thrum
;
E. Kassel
;
W. Pilz
;
K. Keil
;
J. Butschke
;
M. Irmscher
;
F. Letzkus
;
P. Hudek
;
A. Paraskevopoulos
;
P. Ramm
;
J. Weber
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
e-beam;
lithography;
multi beam;
mask less;
79.
High Resolution Defect Inspection of Step and Flash Imprint Lithography for 32 nm Half-Pitch Patterning
机译:
用于32 nm半间距图案化的步进和闪光压印光刻的高分辨率缺陷检查
作者:
I. McMackin
;
J. Perez
;
K. Selenidis
;
J. Maltabes
;
D. Resnick
;
S.V. Sreenivasan
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
80.
Characteristics of a minimum-debris optimum conversion efficiencytin-based LPP source
机译:
基于锡的LPP源的最小碎片最佳转换效率的特性
作者:
Bob Rollinger
;
Davide Bleiner
;
Ndaona Chokani
;
Reza S. Abhari
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv source;
lithography;
laser plasma;
computational;
hydrodynamics;
atomic physics;
81.
Advanced laser-produced EUV light source for HVM with conversion efficiency of 5-7 and B-field mitigation of ions
机译:
用于HVM的高级激光生产的EUV光源,转换效率为5-7%,可减轻离子的B场
作者:
K. Nishihara
;
A. Sunahara
;
A. Sasaki
;
S. Fujioka
;
Y. Shimada
;
M. Nunami
;
H. Tanuma
;
M. Murakami
;
T. Aota
;
K. Fujirna
;
H. Furukawa
;
T. Nishikawa
;
F. Koike
;
R. More
;
T. Kato
;
V. Zhakhovskii
;
K. Gamata
;
H. Ueda
;
H. Nishimura
;
Y. Yuba
;
K. Nagai
;
N. Miyanaga
;
Y. Izawa
;
K. Mima
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euvl (extreme ultraviolet light source for lithography);
lpp (laser-produced plasma);
conversion efficiency from laser to euv;
debris mitigation;
ion mitigation by magnetic field;
radiation hydrodynamic simulation;
tin plasma;
tin cleaning;
82.
Sn DPP source-collector modules: Status of Alpha sources, Beta developments and the scalability to HVM
机译:
Sn DPP源收集器模块:Alpha源的状态,Beta开发以及对HVM的可伸缩性
作者:
Marc Corthout
;
Rolf Apetz
;
Jesko Brudermann
;
Marcel Damen
;
Guenther Derra
;
Oliver Franken
;
Jeroen Jonkers
;
Juergen Klein
;
Felix Kuepper
;
Arnaud Mader
;
Willi Neff
;
Hans Scheuermann
;
Guido Schriever
;
Max Schuermann
;
Guido Siemons
;
Rob Snijkers
;
Dominik Vaudrevange
;
Erik Wagenaars
;
Pieter van de Wel
;
Masaki Yoshioka
;
Peter Zink
;
Oliver Zitzen
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv source;
gas discharge plasma;
TIN;
DPP;
euv lithography;
83.
E-Beam direct write alignment strategies for the next generation node
机译:
下一代节点的电子束直接写入对齐策略
作者:
H. Alves
;
P. Hahmann
;
K.-H. Kliem
;
U. Weidenmueller
;
S. Jahr
;
C. G. Frase
;
D. Gnieser
;
H. Bosse
;
R. Zimmermann
;
C. Arndt
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
e-beam direct write;
alignment marks;
monte carlo simulations;
alignment repeatability;
overlay;
84.
Interfacial Adhesion Studies for Step and Flash Imprint Lithography
机译:
台阶和闪光压印光刻的界面粘合研究
作者:
Michael W. Lin
;
Daniel J. Hellebusch
;
Kai Wu
;
Eui Kyoon Kim
;
Kuan Lu
;
Li Tao
;
Kenneth M. Liechti
;
John G. Ekerdt
;
Paul S. Ho
;
Walter Hu
;
C. Grant Willson
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
adhesion;
sfil;
fracture;
surfactant;
imprint lithography;
85.
High Resolution Nanoimprint Templates for Dual Damascene -Fabrication and Imprint Results
机译:
用于双镶嵌的高分辨率纳米压印模板-制造和压印结果
作者:
Mathias Irmscher
;
Joerg Butschke
;
Ron Carpio
;
Brook Chao
;
Wei-Lun Jen
;
Corinna Koepernik
;
Lorenz Nedelmann
;
Jordan Owens
;
Frank Palmieri
;
Marcus Pritschow
;
Christian Reuter
;
Holger Sailer
;
Ken Sotoodeh
;
Jeff Wetzel
;
Bruce Wilks
;
Grant Willson
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
uv nanoimprint;
dual damascene;
template;
e-beam;
CAR;
vsb;
86.
Selete's EUV program: progress and challenges
机译:
Selete的EUV计划:进展与挑战
作者:
Ichiro Mori
;
Osamu Suga
;
Hiroyuki Tanaka
;
Iwao Nishiyama
;
Tsuneo Terasawa
;
Hiroyuki Shigemura
;
Takao Taguchi
;
Toshihiko Tanaka
;
Toshiro Itani
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv lithography;
euv mask;
euv resist;
exposure tool;
flare;
actinic inspection;
defect inspection;
defect repair;
87.
Film Quantum Yields of EUV Ultra-High PAG Photoresists
机译:
EUV和超高PAG光致抗蚀剂的薄膜量子产率
作者:
Elsayed Hassanein
;
Craig Higgins
;
Patrick Naulleau
;
Richard Matyi
;
Gregg Gallatin
;
Gregory Denbeaux
;
Alin Antohe
;
Jim Thackeray
;
Kathleen Spear
;
Charles Szmanda
;
Christopher N. Anderson
;
Dimitra Niakoula
;
Matthew Malloy
;
Anwar Khurshid
;
Cecilia Montgomery
;
Emil C. Piscani
;
Andrew Rudack
;
Jeff Byers
;
Andy Ma
;
Kim Dean
;
Robert Brainard
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV;
film quantum yield;
euv-2d;
base titration;
photoresists;
ultrahigh pag resists;
88.
Performance of the Full Field EUV Systems
机译:
全域EUV系统的性能
作者:
Hans Meiling
;
Edwin Boon
;
Nico Buzing
;
Kevin Cummings
;
Olav Frijns
;
Judy Galloway
;
Mieke Goethals
;
Noreen Harned
;
Bas Hultermans
;
Roel de Jonge
;
Bart Kessels
;
Peter Kiirz
;
Sjoerd Lok
;
Martin Lowisch
;
Joerg Mailman
;
Bill Pierson
;
Kurt Ronse
;
James Rya
;
Emil Smitt-Weaver
;
Michael Tittnich
;
Christian Wagner
;
Andre van Dijk
;
John Zimmerman
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv lithography;
system performance;
tin sources;
resist images;
devices;
high volume manufacturing;
89.
The Expansion and Application of Conservation Tillage in Raised-Bed Planting Regions
机译:
高床种植区保护性耕作的推广与应用。
作者:
HAN Hao
;
TONG Guangji
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Conservation tillage;
Mode;
Machinery;
System;
90.
Dual Damascene BEOL processing using multilevel step and flash imprint lithography
机译:
使用多层台阶和Flash压印光刻技术的双重镶嵌BEOL处理
作者:
Brook H. Chao
;
Frank Palmieri
;
Wei-Lun Jen
;
D. Hale McMichael
;
C. Grant Willson
;
Jordan Owens
;
Rich Berger
;
Ken Sotoodeh
;
Bruce Wilks
;
Joseph Pham
;
Ronald Carpio
;
Ed LaBelle
;
Jeff Wetzel
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
s-fil;
dual damascene;
interconnect;
SIM;
91.
Spring Wheat Performance and Water Use Efficiency on Permanent Raised-beds in Arid Northwest China
机译:
西北干旱地区春小麦的性能和水分利用效率
作者:
LI Wanqing
;
WANG Jichao
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Spring wheat;
Permanent raised-beds;
Yield;
Water use efficiency;
Arid areas;
92.
Multidimensional Simulation and Optimization of Hybrid Laser and Discharge Plasma Devices for EUV Lithography
机译:
EUV光刻激光与放电等离子体混合装置的多维模拟和优化
作者:
A. Hassanein
;
V. Sizyuk
;
T. Sizyuk
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
euv devices;
lpp;
DPP;
heights;
debris mitigation;
MHD;
gaseous jets;
collection mirrors;
93.
A photolithographic process for grossly non-planar substrates
机译:
非常非平面基材的光刻工艺
作者:
Gavin L. Williams
;
Richard P. McWilliam
;
Jesus Toriz-Garcia
;
Richard Curry
;
Andrew Maiden
;
N. Luke Seed
;
Alan Purvis
;
Peter A. Ivey
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Photolithography;
holography;
non-planar patterning;
computer generated hologram;
through-silicon via;
94.
Phenomenological analysis of carbon deposition rate on the multilayer mirror
机译:
多层镜子上碳沉积速率的现象学分析
作者:
Takahiro Nakayama
;
Hiromitsu Takase
;
Shigeru Terashima
;
Takashi Sudo
;
Yutaka Watanabe
;
Yasuaki Fukuda
;
Katsuhiko Murakami
;
Shintaro Kawata
;
Takashi Aoki
;
Shuichi Matsunari
;
Yukinobu Kakutani
;
Masahito Niibe
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV lithography;
EUV optics;
multilayer mirror;
contamination;
carbon deposition;
95.
EUV mask reflectivity measurements with micron-scale spatial resolution
机译:
euv掩模反射率测量与微米级空间分辨率
作者:
Kenneth A. Goldberg
;
Senajith B. Rekawa
;
Charles D. Kemp
;
Anton Barty
;
Erik Anderson
;
Patrick Kearney
;
Hakseung Han
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet lithography;
EUV;
mask inspection;
reticle;
defect repair;
actinic inspection;
bright-field;
dark-field;
96.
Gate Edge Roughness in Electron Beam Direct Writeand its Influence to Device Characteristics
机译:
电子束中的栅极边缘粗糙度直接写入其对设备特性的影响
作者:
Kang-Hoon Choi
;
Rok Dittrich
;
Matthias Goldbach
;
Christoph Hohle
;
Katja Keil
;
Thomas Marschner
;
Mark Tesauro
;
Frank Thrum
;
Roy Zimmermann
;
Johannes Kretza
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Electron Beam Direct Write;
Line Edge Roughness;
Line Width Roughness;
Power Spectrum Density;
CD-SEM Metrology;
Electrical Characteristics;
97.
Lifetime of EUVL masks as a function of degree of carboncontamination and capping materials
机译:
Euvl面罩的寿命作为碳粉化和封盖材料的函数
作者:
Sungmin Huh
;
Hoon Kim
;
Gisung Yoon
;
Jaehyuck Choi
;
Han-Shin Lee
;
Dong Gun Lee
;
Byungsup Ahn
;
Hwan-Seok Seo
;
Dongwan Kim
;
Seong Sue Kim
;
Han Ku Cho
;
Takeo Watanabe
;
Hiroo Kinoshita
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV;
mask;
lifetime;
carbon contamination;
Si/Ru capping layer;
MET;
98.
Study of system performance in SFET
机译:
SFET中系统性能研究
作者:
Naosuke Nishimura
;
Gaku Takahashi
;
Toshihiko Tsuji
;
Hideki Morishima
;
Kazuhiko Kajiyama
;
Shigeyuki Uzawa
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV exposure tools;
SFET;
system performance;
dose uniformity;
99.
Benchmarking Commercial EUVL Resists at SEMATECH
机译:
基准测试商业EUVL在Sematech抵抗
作者:
Andy Ma
;
Joo-on Park
;
Kim Dean
;
Stefan Wurm
;
Patrick Naulleau
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet;
lithography;
photoresist;
LWR;
sensitivity;
100.
Full field imprinting of sub-40 nm patterns
机译:
SUB-40 NM模式的全场印迹
作者:
Jeongho Yeo
;
Hoyeon Kim
;
Ben Eynon
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
S-FIL;
imprint mask;
imprint lithography;
full field;
overlay;
pattern transfer;
NAND Flash;
上一页
1
2
3
4
5
6
下一页
意见反馈
回到顶部
回到首页