掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Emerging Lithographic Technologies
Conference on Emerging Lithographic Technologies
召开年:
2008
召开地:
San Jose,CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
MAGIC: a European programto push the insertion of maskless lithography
机译:
魔术:推动掩模光刻插入的欧洲计划
作者:
L. Pain
;
B. Icard
;
S. Tedesco
;
B. Kampherbeek
;
G. Gross
;
C. Klein
;
H. Loeschner
;
E.Platzgummer
;
R. Morgan
;
S. Manakli
;
J. Kretz
;
C. Holhe
;
K-H Choi
;
F. Thrum
;
E.Kassel
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
E-Beam;
lithography;
multi beam;
mask less;
2.
Lithium debris removal by sputtering and evaporation for EUV optics and applications
机译:
通过溅射和EUV光学和应用蒸发去除锂碎片
作者:
M. J. Neumann
;
M. J. Cruce
;
D.N. Ruzic
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
Optics;
Debris mitigation;
Lithium;
Secondary plasma;
3.
Applying photolithography-friendly design to e-beam direct writing for 65-nm node and beyond
机译:
将光刻辅助设计应用于65-NM节点的电子束直接写入
作者:
Hiromi Hoshino
;
Kozo Ogino
;
Yasuhide Machida
;
Masaaki Miyajima
;
Takashi Maruyama
;
Yoshinori Kojima
;
Shinji Sugatani
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Maskless lithography;
E-beam exposure;
Photolithography;
Lithography simulation;
Low volume production;
4.
E-Beam direct write alignment strategies for the next generation node
机译:
下一代节点的电子束直接写入对齐策略
作者:
H. Alves
;
P. Hahmann
;
K.-H. Kliem
;
U. Weidenmueller
;
S. Jahr
;
C. G. Frase
;
D. Gnieser
;
H. Bosse
;
R. Zimmermann
;
C. Arndt
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
E-beam direct write;
alignment marks;
Monte Carlo simulations;
alignment repeatability;
overlay;
5.
High Resolution Nanoimprint Templates for Dual Damascene —Fabrication and Imprint Results
机译:
用于双层镶嵌的高分辨率纳米视图模板和印记结果
作者:
Mathias Irmscher
;
Joerg Butschke
;
Ron Carpio
;
Brook Chao
;
Wei-Lun Jen
;
Corinna Koepernik
;
Lorenz Nedelmann
;
Jordan Owens
;
Frank Palmieri
;
Marcus Pritschow
;
Christian Reuter
;
Holger Sailer
;
Ken Sotoodeh
;
Jeff Wetzel
;
Bruce Wilks
;
Grant Willson
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
UV Nanoimprint;
Dual Damascene;
Template;
E-Beam;
CAR;
VSB;
6.
Selete's EUV program: progress and challenges
机译:
Selete的EUV计划:进步和挑战
作者:
Ichiro Mori
;
Osamu Suga
;
Hiroyuki Tanaka
;
Iwao Nishiyama
;
Tsuneo Terasawa
;
Hiroyuki Shigemura
;
Takao Taguchi
;
Toshihiko Tanaka
;
Toshiro Itani
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV lithography;
EUV mask;
EUV resist;
exposure tool;
flare;
actinic inspection;
defect inspection;
defectrepair;
7.
Sub-wavelength optical diffraction and photoacoustic metrologies forthe characterisation of nanoimprinted structures
机译:
亚波长光学衍射和光声学算术,纳米修印结构的表征
作者:
T. Kehoe
;
J. Bryner
;
V. Reboud
;
N. Kehagias
;
S. Landis
;
C. Gourgon
;
J. Vollmann
;
J. Dual
;
C.M. Sotomayor Torres
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
metrology;
subwavelength;
diffraction grating;
photoacoustic;
polymer patterning;
8.
Submicron patterning on Flexible Substrates by Reduction Optical Lithography
机译:
通过减少光学光刻在柔性基板上图案化亚微米
作者:
Wim J. M. de Laat
;
Maria Peter
;
Francois Furthner
;
Peter T. M. Giesen
;
Cheng-Qun Gui
;
Erwin R. Meinders
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Flexible electronics;
optical lithography;
flexible substrates;
thin film transistor on foil;
9.
Performance of the Full Field EUV Systems
机译:
全场EUV系统的性能
作者:
Hans Meiling
;
Edwin Boon
;
Nico Buzing
;
Kevin Cummings
;
Olav Frijns
;
Judy Galloway
;
Mieke Goethals
;
Noreen Harned
;
Bas Hultermans
;
Roel de Jonge
;
Bart Kessels
;
Peter Kurz
;
Sjoerd Lok
;
Martin Lowisch
;
Joerg Mailman
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV lithography;
system performance;
tin sources;
resist images;
devices;
high volume manufacturing;
10.
Film Quantum Yields of EUV Ultra-High PAG Photoresists
机译:
EUV和超高PAG光致抗蚀剂的薄膜量子产率
作者:
Elsayed Hassanein
;
Craig Higgins
;
Patrick Naulleau
;
Richard Matyi
;
Gregg Gallatin
;
Gregory Denbeaux
;
Alin Antohe
;
Jim Thackeray
;
Kathleen Spear
;
Charles Szmanda
;
Christopher N. Anderson
;
Dimitra Niakoula
;
Matthew Malloy
;
Anwar Khurshid
;
Cecilia Montgomery
;
Emil C. Piscani
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV;
Film Quantum Yield;
EUV-2D;
Base Titration;
Photoresists;
Ultrahigh PAG Resists;
11.
Ionic Debris Assessment of Various EUVL Systems
机译:
各种EUVL系统的离子碎片评估
作者:
C. H. Castano
;
D. N. Ruzic
;
S. N. Srivastava
;
K. C. Thompson
;
J. Sporre
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
ESA;
Ion Debris Measurement;
EUV;
flux;
diagnostic;
12.
Dependence of EUV Mask Printing Performanceon Blank Architecture
机译:
EUV掩模印刷绩效件空白架构的依赖性
作者:
Rik Jonckheere
;
Yoonsuk Hyun
;
Fumio Iwamoto
;
Bart Baudemprez
;
Jan Hermans
;
Gian Francesco Lorusso
;
Ivan Pollentier
;
Anne-Marie Goethals
;
Kurt Ronse
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV lithography;
full field;
EUV reticle;
imaging performance;
mask stack;
absorber;
capping layer;
13.
Dual Damascene BEOL processing using multilevel step and flash imprint lithography
机译:
使用多级步骤和闪光印迹光刻的双层镶嵌BEOL处理
作者:
Brook H. Chao
;
Frank Palmieri
;
Wei-Lun Jen
;
D. Hale McMichael
;
C. Grant Willson
;
Jordan Owens
;
Rich Berger
;
Ken Sotoodeh
;
Bruce Wilks
;
Joseph Pham
;
Ronald Carpio
;
EdLa Belle
;
Jeff Wetzel
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
nanoimprint lithography;
S-FIL;
dual damascene;
interconnect;
SIM;
14.
EUV Source Collector
机译:
EUV源收集器
作者:
Norbert R. Boewering
;
Alex I. Ershov
;
William F. Marx
;
Oleh V. Khodykin
;
Bjoern A. M. Hansson
;
Ernesto Vargas L.
;
Juan A. Chavez
;
Igor V. Fomenkov
;
David W. Myers
;
David C. Brandt
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV collector mirror;
EUV lithography;
EUV light source;
laser-produced plasma;
multilayer mirror;
EUV radiation power;
collector lifetime;
15.
Schwarzschild-Objective-Based EUV Micro Exposure Tool
机译:
基于Schwarzschild-目标的EUV微曝光工具
作者:
Uwe Detlef Zeitner
;
Torsten Feigl
;
Tino Benkenstein
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
EUV lithography;
schwarzschild objective;
micro exposure tool;
EUV microscopy;
16.
Increasing effective resolution through surface conditioners for 1x imprint templates, and photo mask applications beyond 65nm
机译:
通过表面调节器提高有效分辨率,对于1x版本的模板,以及超过65nm的照片掩模应用
作者:
Kosta S. Selinidis
;
John G. Maltabes
;
Madhukar B. Rao
;
Peng Zhang
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Pattern collapse;
surface conditioner;
surfactant;
photo mask;
imprint template;
17.
High-power low cost drive laser for LPP source
机译:
用于LPP源的高功率低成本驱动激光器
作者:
Igor V. Fomenkov
;
Bjorn A. Hansson
;
Norbert R. Bowering
;
Alex I. Ershov
;
William N. Partlo
;
Vladimir B. Fleurov
;
Oleh V. Khodykin
;
Alexander N. Bykanov
;
Curtis L. Rettig
;
Jerzy R. Hoffman
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV source;
laser-produced plasma;
XeF power amplifier;
Nd: YLF seed;
CO_2 laser amplifer;
18.
Micro-stereo-lithography system
机译:
微立体光刻系统
作者:
T. Yoshimoto
;
I. Miyaki
;
H. Yaze
;
Y. Maruka
;
N. Ri
;
T. Teramoto
;
K. Morohoshi
;
Y. Koyagi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
micro-stereo-lithography;
3D-structure-photo-polymerizing polyer;
rapid prototyping system;
MEMS;
micro turbine;
micro coil;
photonic crystal;
medical fields;
19.
Compact source and beam delivery system for EUV radiation
机译:
用于EUV辐射的紧凑型源和光束输送系统
作者:
Klaus Mann
;
Frank Barkusky
;
Armin Bayer
;
Christian Peth
;
Holger Tottgerot
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Schwarzschild objective;
EUV source;
EUV optics;
13.5 nm;
laser-induced plasma;
Mo/Si;
photo-ablation;
PMMA;
20.
Impact of Multi-Layer Deposition Method on Defects for EUVL Photomask Blanks
机译:
多层沉积法对EUVL光掩模坯料缺陷的影响
作者:
Jerry Cullins
;
Kumi Motai
;
Iwao Nisiyama
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
mask;
photomask;
multi-layer deposition;
defects;
ion beam;
magnetron;
21.
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV production
机译:
EUV生产多组分等离子体动态演化和光谱特性研究
作者:
Joshua B. Spencer
;
Shailendra N. Srivastava
;
Darren A. Alman
;
Erik L. Antonsen
;
David N. Ruzic
;
Joseph J. MacFarlane
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
22.
Schwarzschild-objective-based EUV micro-exposure tool
机译:
基于Schwarzschild-目标的EUV微曝光工具
作者:
Uwe D. Zeitner
;
Torsten Feigl
;
Tino Benkenstein
;
Christoph Damm
;
Thomas Peschel
;
Norbert Kaiser
;
Andreas Tunnermann
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
EUV lithography;
Schwarzschild objective;
micro exposure tool;
EUV microscopy;
23.
Combined absorber stack for optimization of the EUVL mask
机译:
组合吸收器堆栈,用于优化EUVL面膜
作者:
Seung Yoon Lee
;
Tae Geun Kim
;
Chung Yong Kim
;
In-Yong Kang
;
Yong-Chae Chung
;
Jinho Ahn
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUVL;
absorber;
absorber stack;
ARC;
reflectivity;
Al_2O_3;
TaN;
capping;
buffer;
24.
Combined absorber stack for optimization of the EUVL mask
机译:
组合吸收器堆栈,用于优化EUVL面膜
作者:
Seung Y. Lee
;
Tae G. Kim
;
Chung Y. Kim
;
In-Yong Kang
;
Yong-Chae Chung
;
Jinho Ahn
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUVL;
absorber;
absoerber stack;
ARC;
reflectivity;
Al_2O_3;
TaN;
capping;
buffer;
25.
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source
机译:
激光产生等离子体EUV光源低温XE毛细管射流的研究
作者:
T. Inoue
;
P.E. Nica
;
K. Kaku
;
A. Shimoura
;
S. Amano
;
S. Miyamoto
;
T. Mochizuki
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Xe capillary jet;
mass-limited target;
debris-free;
fast-supplying;
laser-produced plasma EUV source;
26.
Micro-Stereo-Lithography System
机译:
微立体光刻系统
作者:
T. Yoshimoto
;
I. Miyaki
;
H.Yaze
;
Y. Maruka
;
N.Ri
;
T.Teramoto
;
K. Morohoshi
;
Y. Koyagi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
micro-stereo-lithography;
3D-structure;
photo-polymerizing polymer;
rapid prototyping system;
MEMS;
micro turbine;
micro coil;
photonic crystal;
maskless;
nano imprint;
nano particle mixing;
27.
Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3D arrays on glass substrates
机译:
使用全氟化聚醚模具的软光刻和用于在玻璃基板上制造3D阵列的印刷技术
作者:
Kenton B. Wiles
;
Natasha S. Wiles
;
Kevin P. Herlihy
;
Benjamin W. Maynor
;
Jason P. Rolland
;
Joseph M. DeSimone
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Soft Lithography;
Perfluorpolyether;
Polydimethylsioxane;
Self Assembled Monolayer;
3-D Array;
28.
Soft Lithography Using Perfluorinated Polyether Molds and PRINT Technology for Fabrication of 3-D Arrays on Glass Substrates
机译:
使用全氟化聚醚模具的软光刻和用于在玻璃基板上制造3-D阵列的印刷技术
作者:
Kenton B. Wiles
;
Natasha S. Wiles
;
Kevin P. Herlihy
;
Benjamin W. Maynor
;
Jason P. Rolland
;
Joseph M. DeSimone
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
soft lithography;
perfluoropolyether;
polydimethylsiloxane;
self assembled monolayer;
3-D array;
29.
A year in the life of an immersion lithography alpha tool at Albany NanoTech
机译:
Albany Nanotech的浸入式光刻alpha工具的一年
作者:
Michael Tittnich
;
John G. Hartley
;
Greg Denbeaux
;
Uzo Okoroanyanwu
;
Harry Levinson
;
Karen E. Petrillo
;
Chris Robinson
;
Dario Gil
;
Dan Corliss
;
David Back
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
30.
Characterization of CCD sensor for actinic mask blank inspection
机译:
光化面膜空白检查CCD传感器的特征
作者:
Yoshihiro Tezuka
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Toshihisa Tomie
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
mask blanks;
actinic inspectioin;
BI-CCD;
point spread function;
readout noise;
31.
Characterization of CCD sensor for actinic mask blank inspection
机译:
光化面膜空白检查CCD传感器的特征
作者:
Yoshihiro Tezuka
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Toshihisa Tomie
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
mask blanks;
actinic inspection;
BI-CCD;
point spread function;
readout noise;
32.
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source
机译:
激光产生等离子体EUV光源低温XE毛细管射流的研究
作者:
T. Inoue
;
P. E. Nica
;
K. Kaku
;
A. Shimoura
;
S. Amano
;
S. Miyamoto
;
T. Mochizuki
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Xe capillary jet;
Mass-limited target;
Debris-free;
Fast-supplying;
Laser-produced plasma EUV source;
33.
Multi-level Step and Flash Imprint Lithography for Direct Patterning of Dielectrics
机译:
用于直接图案化电介质的多级步骤和闪光印记光刻
作者:
Frank Palmieri
;
Michael D. Stewart
;
Jeff Wetzel
;
Jianjun Hao
;
Yukio Nishimura
;
Kane Jen
;
Colm Flannery
;
Bin Li
;
Huang-Lin Chao
;
Soo Young
;
Woon Chun Kim
;
Paul S. Ho
;
C. Grant Willson
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
SFIL;
dual damascene;
dielectric;
ILD;
interconnect;
nanoimprint lithography;
34.
Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTB
机译:
PTB高精度反射镜的大型轴向EUV镜的表征
作者:
Christian Laubis
;
Christian Buchholz
;
Andreas Fischer
;
Sven Ploger
;
Frank Scholz
;
Heike Wagner
;
Frank Scholze
;
Gerhard Ulm
;
Hartmut Enkisch
;
Stephan Mullender
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Extreme ultraviolet;
lithography;
at-wavelength characterization;
reflectometry;
synchrotron radation;
35.
Increasing effective resolution through surface conditioners for 1x imprint templates, and photo mask applications beyond 65nm
机译:
通过表面调节器提高有效分辨率,对于1x版本的模板,以及超过65nm的照片掩模应用
作者:
Kosta S. Selinidis
;
John G. Maltabes
;
Madhukar B. Rao
;
Peng Zhang
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
pattern collapse;
surface conditioner;
surfactant;
photo mask;
imprint template;
36.
EUV source collector
机译:
EUV源收集器
作者:
Norbert R. Bowering
;
Alex I. Ershov
;
William F. Marx
;
Oleh V. Khodykin
;
Bjorn A. Hansson
;
Ernesto Vargas L.
;
Juan A. Chavez
;
Igor V. Fomenkov
;
David W. Myers
;
David C. Brandt
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV collector mirror;
EUV lithography;
EUV light source;
laser-prduced plasma;
multilayer mirror;
EUV radiation power;
collector lifetime;
37.
Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTB
机译:
PTB高精度反射镜的大型轴向EUV镜的表征
作者:
Christian Laubis
;
Christian Buchholz
;
Andreas Fischer
;
Sven Ploeger
;
Frank Scholz
;
Heike Wagner
;
Frank Scholze
;
Gerhard Ulm
;
Hartmut Enkisch
;
Stephan Muellender
;
Marco Wedowski
;
Eric Louis
;
Erwin Zoethout
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
metrology;
lithography;
at-wavelength characterization;
reflectometry;
synchrotron radiation;
38.
EUV testing of multilayer mirrors: critical issues
机译:
多层镜子的EUV测试:关键问题
作者:
S. B. Hill
;
I. Ermanoski
;
S. Grantham
;
C. Tarrio
;
T. B. Lucatorto
;
T. E. Madey
;
S. Bajt
;
M. Chandhok
;
P. Yan
;
O. Wood
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithorgraphy;
reflectomety;
EUV optics;
ruthenium films;
lifetime testing;
39.
A simple lithographic method for fabricating micro/nano multiscale structures
机译:
一种简单的制造微型/纳米多尺度结构的平版方法
作者:
Hoon Eui Jeong
;
Sung Hoon Lee
;
Pilnam Kim
;
Jae Kwan Kim
;
Kahp Y. Suh
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Multiscale fabrication;
hierarchical structure;
micro/nano structure;
capillary molding;
40.
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV production
机译:
EUV生产多组分等离子体动态演化和光谱特性研究
作者:
Joshua B. Spencer
;
Shailendra N. Srivastava
;
Darren A. Alman
;
Erik L. Antonsen
;
David N. Ruzic
;
Joseph J. MacFarlane
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
41.
EUV Sources for the Alpha-Tools
机译:
EUV源的alpha-tools
作者:
Joseph Pankert
;
Rolf Apetz
;
Klaus Bergmann
;
Marcel Damen
;
Guenther Derra
;
Oliver Franken
;
Maurice Janssen
;
Jeroen Jonkers
;
Juergen Klein
;
Helmar Kraus
;
Thomas Kruecken
;
Andreas List
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV source;
gas discharge plasma;
tin;
EUV lithography;
halogen cycle;
42.
High-temperature LPP collector mirror
机译:
高温LPP收集器镜
作者:
Torsten Feigl
;
Sergiy Yulin
;
Nicolas Benoit
;
Norbert Kaiser
;
Norbert R. Bowering
;
Alex I. Ershov
;
Oleh V. Khodykin
;
ohn W. Viatella
;
Kent Bruzzone
;
Igor V. Fomenkov
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Collector mirror;
thermal stability;
multilayer coating;
optics lifetime;
43.
EUV sources for the alpha-tools
机译:
EUV源的alpha-tools
作者:
Joseph Pankert
;
Rolf Apetz
;
Klaus Bergmann
;
Marcel Damen
;
Gunther Derra
;
Oliver Franken
;
Maurice Janssen
;
Jeroen Jonkers
;
Jurgen Klein
;
Helmar Kraus
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV source;
gas discharge plasmas;
tin;
EUV Lithography;
halogen cycle;
44.
Multi-level step and flash imprint lithography for direct patterning of dielectrics
机译:
用于直接图案化电介质的多级步骤和闪光印记光刻
作者:
Frank Palmieri
;
Michael D. Stewart
;
Jeff Wetzel
;
Jianjun Hao
;
Yukio Nishimura
;
Kane Jen
;
Colm Flannery
;
Bin Li
;
Huang-Lin Chao
;
Soo Young
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
SFIL;
dual damascene;
dielectric;
ILD;
interconnect;
nanoimprint lithography;
45.
High Power Low Cost Drive Laser for LPP Source
机译:
用于LPP源的高功率低成本驱动激光器
作者:
Igor V. Fomenkov
;
Bjoern A.M. Hansson
;
Norbert R. Boewering
;
Alex I. Ershov
;
William N. Partlo
;
Vladimir B. Fleurov
;
Oleh V. Khodykin
;
Alexander N. Bykanov
;
Curtis L. Rettig
;
Jerzy R. Hoffman
;
Ernesto Vargas L.
;
Juan A. Chavez
;
William F. Marx
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV source;
laser-produced plasma;
XeF power amplifier;
Nd:YLF seed;
CO_2 laser amplifier;
46.
Impact of multi-layer deposition method on defects for EUVL photomask blanks
机译:
多层沉积法对EUVL光掩模坯料缺陷的影响
作者:
Jerry Cullins
;
Kumi Motai
;
Iwao Nisiyama
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Mask;
Photomask;
Multi-Layer Deposition;
Defects;
Ion Beam;
Magnetron;
47.
EUV testing of multilayer mirrors: critical issues
机译:
多层镜子的EUV测试:关键问题
作者:
S. B. Hill
;
I. Ermanoski
;
S. Grantham
;
C. Tarrio
;
T. B. Lucatorto
;
T. E. Madey
;
S. Bajt
;
M. Chandhok
;
P. Yan
;
O. Wood
;
S. Wurm
;
N. V. Edwards
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
reflectometry;
EUV optics;
ruthenium films;
lifetime testing;
48.
A Year in the Life of an Immersion Lithography Alpha Tool at Albany NanoTech
机译:
Albany Nanotech的浸入式光刻alpha工具的一年
作者:
Michael Tittnich
;
John Hartley
;
Greg Denbeaux
;
Uzo Okoroanyanwu
;
Harry Levinson
;
Karen Petrillo
;
Chris Robinson
;
Dario Gil
;
Dan Corliss
;
David Back
;
Stefan Brandl
;
Christian Schwarz
;
Frank Goodwin
;
Yayi Wei
;
Brian Martinick
;
Richard Housley
;
Peter Benson
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
49.
A simple lithographic method for fabricating micro/nano multiscale structures
机译:
一种简单的制造微型/纳米多尺度结构的平版方法
作者:
Hoon Eui Jeong
;
Sung Hoon Lee
;
Pilnam Kim
;
Jae Kwan Kim
;
Kahp Y. Suh
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
multiscale fabrication;
hierarchical structure;
micro/nano structure;
capillary molding;
50.
High-Temperature LPP Collector Mirror
机译:
高温LPP收集器镜
作者:
Torsten Feigl
;
Sergiy Yulin
;
Nicolas Benoit
;
Norbert Kaiser
;
Norbert R. Boewering
;
Alex I. Ershov
;
Oleh V. Khodykin
;
John W. Viatella
;
Kent A. Bruzzone
;
Igor V. Fomenkov
;
David W. Myers
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
collector mirror;
thermal stability;
multilayer coatings;
optics lifetime;
51.
Fabrication and test of nano crossbar switches/MOSFET hybridcircuits by imprinting lithography
机译:
压印光刻纳米横杆开关/ MOSFET杂交窗的制造和测试
作者:
Zhiyong Li
;
Xuema Li
;
Douglas A. A. Ohlberg
;
Joseph Straznicky
;
Wei Wu
;
Zhaoning Yu
;
Julien Borghetti
;
William Tong
;
Duncan Stewart
;
R. Stanley Williams
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
parallel wires;
hybrid logic circuits;
FETs;
52.
Low density targets for laser-produced-plasma (LPP) extremeul traviolet light source with high-CE and toward high-repetition supply
机译:
具有高CE和高重复供应的激光制造 - 等离子体(LPP)remoteul光源的低密度靶标
作者:
Keiji Nagai
;
Liqin Ge
;
Pejun Cai
;
Cao Pan
;
ZhongZe Gu
;
Takayoshi Norimatsu
;
Hiroaki Nishimura
;
Katsunobu Nishihara
;
Noriaki Miyanaga
;
Yasukazu Izawa
;
Kunioki Mima
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
extreme ultraviolet (EUV);
laser produced plasma (LPP);
tin;
lithium;
xenon;
low density;
53.
Quantitative measurement of outgas products from EUV photoresists
机译:
从EUV光致抗蚀剂的utgas产品的定量测量
作者:
C. Tarrio
;
B. A. Benner
;
R. E. Vest
;
S. Grantham
;
S. B Hill
;
T. B. Lucatorto
;
J. H.Hendricks
;
P. Abbott
;
G. Denbeaux
;
C.Mbanaso
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Extreme ultraviolet lithography;
EUV optics;
resist outgassing;
carbon growth;
optics lifetime;
54.
Extreme ultraviolet resist outgassing and its effect on nearby optics
机译:
极端紫外线抵抗偏粘和其对附近光学的影响
作者:
Gregory Denbeaux
;
Rashi Garg
;
Chimaobi Mbanaso
;
Justin Waterman
;
Leonid Yankulin
;
Alin Antohe
;
Yu-Jen Fan
;
Warren Montgomery
;
Kim Dean
;
Kevin Orvek
;
Andrea Wiiest
;
Obert Wood
;
Chiew-Seng Koay
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Extreme ultraviolet;
EUV;
outgassing;
optics contamination;
witness plate;
55.
Diffraction feature of micro lens array with a small aperture size
机译:
小孔径尺寸微透镜阵列的衍射特征
作者:
Seungryong Park
;
Jinho Park
;
Hakyu Choi
;
Young-Je Yun
;
Kwangseon Choi
;
Jeahee Kim
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
CIS;
micro lens;
electro-magnetic wave;
diffraction limit;
56.
Advanced Diffuser Technology Helps Reduce Vent-Up Times WhileMaintaining Wafer Integrity on Vacuum Tools Loadlock Chambers
机译:
先进的扩散器技术有助于减少触及真空工具的晶圆完整性的透气次数,Loadlock Chambers
作者:
Chris Vroman
;
Chris Quartaro
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
Wafer throughput;
loadlocks;
SWLL;
200mm tools;
CVD;
PVD;
RTP Epi;
57.
Development status of projection optics and illumination opticsfor EUV1
机译:
投影光学和照明OPTICS的开发状态为EUV1
作者:
Katsuhiko Murakami
;
Tetsuya Oshino
;
Hiroyuki Kondo
;
Hiroshi Chiba
;
Hideki Komatsuda
;
Kazushi Nomura
;
Hiromitsu Iwata
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUVL;
Projection Optics;
Illumination Optics;
Aspheric mirrors;
Multilayer coatings;
58.
CO _2laser-produced Sn-plasma source for high-volumemanufacturing EUV lithography
机译:
CO _2Laser制造的SN-等离子源,用于高卷制造的EUV光刻
作者:
Akira Endo
;
Hideo Hoshino
;
Takashi Suganuma
;
Krzysztof Nowak
;
Tatsuya Yanagida
;
Takayuki Yabu
;
Takeshi Asayama
;
Yoshifumi Ueno
;
Masato Moriya
;
Masaki Nakano
;
Hiroshi Someya
;
Toshihiro Nishisaka
;
Tamotsu Abe
;
Georg Soumagne
;
Hiroshi Komori
;
Koichi Toyoda
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2008年
关键词:
EUV light source;
laser produced plasma;
CO_2laser;
59.
Multilayer optics with spectral purity layers for the EUV wavelength range
机译:
具有频谱纯度层的多层光学器件,用于EUV波长范围
作者:
E. Louis
;
R.W.E. van de Kruijs
;
A.E. Yakshin
;
S. Alonso van der Westen
;
F. Bijkerk
;
M.M.J.W. van Herpen
;
D.J.W. Klunder
;
L. Bakker
;
H. Enkisch
;
S. Muellender
;
M. Richter
;
V. Banine
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Mo/Si multilayers;
EUV lithography;
out of band radiation;
60.
Multilayer optics with spectral purity layers for the EUV wavelength range
机译:
具有频谱纯度层的多层光学器件,用于EUV波长范围
作者:
E. Louis
;
R. W .E. van de Kruijs
;
A. E. Yakshin
;
S. Alonso van der Westen
;
F. Bijkerk
;
M. M. J. W. van Herpen
;
D. J. W. Klunder
;
L. Bakker
;
H. Enkisch
;
S. Mullender
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Mo/Si multiayers;
EUV Lithography;
Out of band radiation;
61.
Numerical modeling of absorber characteristics for EUVL
机译:
EUVL吸收特性的数值模拟
作者:
In-Yong Kang
;
Jinho Ahn
;
Hye-Keun Oh
;
Yong-Chae Chung
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUVL;
absorber characteristic;
pattern printability;
reflectivity;
aerial image image intensity;
62.
Novel low thermal expansion material for EUV application
机译:
用于EUV应用的新型低热膨胀材料
作者:
Mitsuhiro Kawata
;
Akira Takada
;
Hideaki Hayashi
;
Naoki Sugimoto
;
Shinya Kikugawa
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
low thermal exansion;
silica glass;
structureal chemistry;
63.
Novel low thermal expansion material for EUV application
机译:
用于EUV应用的新型低热膨胀材料
作者:
Mitsuhiro Kawata
;
Akira Takada
;
Hideaki Hayashi
;
Naoki Sugimoto
;
Shinya Kikugawa
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
low thermal expansion;
silica glass;
structural chemistry;
64.
Numerical Modeling of Absorber Characteristics for EUVL
机译:
EUVL吸收特性的数值模拟
作者:
In-Yong Kang
;
Jinho Ahn
;
Hye-Keun Oh
;
Yong-Chae Chung
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUVL;
absorber characteristics;
pattern printability;
reflectivity;
aerial image intensity;
65.
Imprint Technology: A Potential Low-Cost Solution for Sub-45 nm Device Applications
机译:
压印技术:子45 NM设备应用的潜在低成本解决方案
作者:
Ngoc V. Le
;
William J. Dauksher
;
Kathy A. Gehoski
;
Kevin J. Nordquist
;
Eric Ainley
;
Pawitter Mangat
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
step and flash imprint lithography;
S-FIL;
pattern transfer;
residual layer;
66.
Imprint technology: A potential low-cost solution for sub-45nm device applications
机译:
压印技术:子45nm设备应用的潜在低成本解决方案
作者:
Ngoc V. Le
;
William J. Dauksher
;
Kathy A. Gehoski
;
Kevin J. Nordquist
;
Eric Ainley
;
Pawitter Mangat
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Step and Flash Imprint Lithography;
S-FIL;
pattern transfer;
residual layer;
67.
Lithographic Characterization of Low-Order Aberrations in a 0.3-NA EUV Microfield Exposure Tool
机译:
0.3纳EUV微型曝光工具中低阶畸变的光刻表征
作者:
Patrick Naulleau
;
Jason Cain
;
Kim Dean
;
Kenneth A. Goldberg
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
alignment;
aberrations;
68.
Advanced at-wavelength reflectometry with the EUV tube
机译:
具有EUV管的先进的AT-波长反射测量
作者:
Andre Egbert
;
Stefan Becker
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
microfocus EUV source;
EUV metrology;
EUV reflectometry;
multilayer mirrors;
69.
Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure tool
机译:
0.3纳EUV微型曝光工具中低阶畸变的光刻表征
作者:
Patrick Naulleau
;
Jason Cain
;
Kim Dean
;
Kenneth A. Goldberg
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
alignment;
aberrations;
70.
Photocurable pillar arrays formed via AC- and ultrasound-induced electrohydrodynamic instabilities
机译:
通过AC和超声波诱导的电液动力学稳定性形成的可光固化柱阵列
作者:
avlos C. Tsiartas
;
Michael D. Dickey
;
Keris E. Allrich
;
C. G. Willson
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Pillar arraye;
alternative patterning;
photocurable materials;
electric field assisted self assembly;
71.
EUV imaging with a 13nm tabletop laser reaches sub-38 nm spatial resolution
机译:
带13nm桌面激光的EUV成像达到了Sub-38 NM空间分辨率
作者:
Georgiy Vaschenko
;
Fernando Brizuela
;
Courtney Brewer
;
Miguel A. Larotonda
;
Yong Wang
;
Bradley M. Luther
;
Mario C. Marconi
;
Jorge J. Rocca
;
Carmen S. Menoni
;
Weilun Chao
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Extreme ultraviolet imagingE;
compact EUV lasers;
EUV microscopy;
72.
The Role of Stress in Nanoimprint Lithography
机译:
压力在纳米压印光刻中的作用
作者:
Hyun Wook Ro
;
Yifu Ding
;
Hae-Jeong Lee
;
Daniel R. Hines
;
Ronald L. Jones
;
Eric K. Lin
;
Alamgir Karim
;
Wen-li Wu
;
Christopher L. Soles
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
nanoimprint lithography;
residual stress;
thickness;
pattern shape;
metrology;
X-ray reflectivity;
critical dimension small angle scattering;
73.
Phase defect observation using an EUV microscope
机译:
使用EUV显微镜相位缺陷观察
作者:
Kazuhiro Hamamoto
;
Yuzuru Tanaka
;
Takahiro Yoshizumi
;
Yasuyuki Fukushima
;
Hideaki Shiotani
;
Noriyuki Sakaya
;
Morio Hosoya
;
Tsutomu Shoki
;
Takeo Watanabe
;
Hiroo Kinoshita
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV Lithography;
EUV microscope;
mask;
defect;
74.
Characterizing nanoimprint pattern cross-section and fidelity from x-ray reflectivity
机译:
从X射线反射率表征纳米视图图案横截面和保真度
作者:
Hae-Jeong Lee
;
Christopher L. Soles
;
Hyun W. Ro
;
D. R. Hines
;
Ronald L. Jones
;
Eric K. Lin
;
Alamgir Karim
;
Wen-li Wu
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
nanoimprint lithography;
pattern fidelity;
x-ray reflectivity;
cross-sction;
residual layer;
pattern profele;
75.
The EUV Resist Test Center at SEMATECH-North
机译:
Sematech-North的EUV抵抗测试中心
作者:
Klaus Lowack
;
Andy Rudack
;
Kim Dean
;
Matt Malloy
;
Mike Lercel
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
resist;
76.
Photocurable Pillar Arrays Formed via AC- and Ultrasound-Induced Electrohydrodynamic Instabilities
机译:
通过AC和超声波诱导的电液动力学稳定性形成的可光固化柱阵列
作者:
Pavlos C. Tsiartas
;
Michael D. Dickey
;
Kens E. Allrich
;
C. Grant Willson
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
pillar arrays;
alternative patterning;
photocurable materials;
electric field assisted self assembly;
77.
The EUV resist test center at SEMATECH-North
机译:
Sematech-North的EUV抵抗测试中心
作者:
Klaus Lowack
;
Andy Rudack
;
Kim Dean
;
Matt Malloy
;
Mike Lercel
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
resist;
78.
Phase Defect Observation Using an EUV Microscope
机译:
使用EUV显微镜相位缺陷观察
作者:
Kazuhiro Hamamoto
;
Yuzuru Tanaka
;
Takahiro Yoshizumi
;
Yasuyuki Fukushima
;
Hideaki Shiotani
;
Noriyuki Sakaya
;
Morio Hosoya
;
Tsutomu Shoki
;
Takeo Watanabe
;
Hiroo Kinoshita
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV lithography;
EUV microscope;
mask;
defect;
79.
Micro/nano lithography realized by chemical printing
机译:
通过化学印刷实现的微/纳米光刻
作者:
Peng Yao
;
Garrett J. Schneider
;
Janusz Murakowski
;
Maciej Murakowski
;
Dennis W. Prather
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
80.
Characterizing Nanoimprint Pattern Cross-Section and Fidelity from X-ray Reflectivity
机译:
从X射线反射率表征纳米视图图案横截面和保真度
作者:
Hae-Jeong Lee
;
Christopher L. Soles
;
Hyun Wook Ro
;
D. R. Hines
;
Ronald L. Jones
;
Eric K. Lin
;
Alamgir Karim
;
Wen-li Wu
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
nanoimprint lithography;
pattern fidelity;
x-ray reflectivity;
cross-section;
residual layer;
pattern profile;
81.
The role of stress in nanoimprint lithography
机译:
压力在纳米压印光刻中的作用
作者:
Hyun W. Ro
;
Yifu Ding
;
Hae-Jeong Lee
;
Daniel R. Hines
;
Ronald L. Jones
;
Eric K. Lin
;
Alamgir Karim
;
Wen-li Wu
;
Christopher L. Soles
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
nanoimprint lithography;
residual stree;
thickness;
pattern shape;
metrology;
X-ray reflectivity;
critical dimension small angle scattering;
82.
EUV imaging with a 13 nm tabletop laser reaches sub-38 nm spatial resolution
机译:
用13nm桌面激光器的EUV成像达到了Sub-38 NM空间分辨率
作者:
Georgiy Vaschenko
;
Fernando Brizuela
;
Courtney Brewer
;
Miguel A. Larotonda
;
Yong Wang
;
Bradley M. Luther
;
Mario C. Marconi
;
Jorge J. Rocca
;
Carmen S. Menoni
;
Weilun Chao
;
Erik H. Anderson
;
Yanwei Liu
;
David T. Attwood
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet imaging;
compact EUV lasers;
EUV microscopy;
83.
Advanced at-wavelength reflectometry with the EUV tube
机译:
具有EUV管的先进的AT-波长反射测量
作者:
Andre Egbert
;
Stefan Becker
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
microfocus EUV source;
EUV metrology;
EUV reflectomotry;
multilayer mirrors;
84.
Micro/nano lithography realized by chemical printing
机译:
通过化学印刷实现的微/纳米光刻
作者:
Peng Yao
;
Garrett J. Schneider
;
Janusz Murakowski
;
Maciej Murakowski
;
Dennis W. Prather
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
85.
A Character Projection Low Energy Electron Beam Direct Writing System for Device of Small Production Lot with a Variety of Design
机译:
各种设计小型生产批量设备的特征投影低能电子束直接写入系统
作者:
Fumihiko Nakamura
;
Katsuhide Watanabe
;
Hidetoshi Kinoshita
;
Hiroyuki Shinozaki
;
Yasushi Kojima
;
Satoshi Morita
;
Kouhei Noguchi
;
Norihiro Yamaguchi
;
Hisashi Isokawa
;
Kazuhiko Kushitani
;
Takayuki Satoh
;
Takeshi Koshiba
;
Takumi Oota
;
Tetsuro Nakasugi
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
low-energy EB;
mask-less;
EBDW;
CP;
86.
High Power Pulsed CO_2 Laser for EUV Lithography
机译:
用于EUV光刻的高功率脉冲CO_2激光器
作者:
Tatsuya Ariga
;
Hideo Hoshino
;
Taisuke Miura
;
Akira Endo
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
laser produced plasma;
CO_2 laser;
axial flow;
87.
EUV Generation from Lithium Laser Plasma for Lithography
机译:
从锂激光等离子体的EUV生成光刻
作者:
Simi A. George
;
William Silfvast
;
Kazutoshi Takenoshita
;
Robert Bernath
;
Chiew-Seng Koay
;
Greg Shimkaveg
;
Martin Richardson
;
Moza Al-Rabban
;
Howard Scott
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
laser plasmas;
EUV lithography;
lithium;
tin;
EUV sources;
EUV spectroscopy;
88.
Characterization of striae in ULE for EUVL optics and masks
机译:
EUVL光学和面具中宽松级的特征
作者:
William Rosch
;
Lorrie Beall
;
John Maxon
;
Robert Sabia
;
Robert Sell
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
ULE;
EUVL;
photomasks;
striae;
spatial frequency rouhness;
retardation;
frequency;
birefringence;
titania;
89.
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH North
机译:
Sematech North最紫外线微型曝光工具的空中图像建模
作者:
Patrick Naulleau
;
Kim Dean
;
Klaus Lowack
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
modeling;
aerial image;
resist;
90.
High-Sensitivity Interferometric Schemes for ML2 Micromirror Calibrations
机译:
ML2微镜校准的高灵敏度干涉方案
作者:
Jen-Shiang Wang
;
Olav Solgaard
;
Andrew R. Neureuther
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
maskless lithography;
SLM;
calibration;
micromirror;
ML2;
91.
Visible light point-diffraction interferometer for testing of EUVL optics
机译:
用于测试EUVL光学的可见光点衍射干涉仪
作者:
Seiji Takeuchi
;
Osamu Kakuchi
;
Kenji Yamazoe
;
Yoshio Gomei
;
Todd A. Decker
;
Michael A. Johnson
;
Donald W. Phillion
;
John S. Taylor
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
Interfermeter;
PDI;
EUV;
lithography;
wavefront;
92.
High-precision ( < 1ppb/°C) Optical Heterodyne Interferometric Dilatometer for Determining Absolute CTE of EUVL Materials
机译:
高精度(<1ppb /°C)光学杂差干涉膨胀计,用于确定EUVL材料的绝对CTE
作者:
Yoshimasa Takeichi
;
Iwao Nishiyama
;
Naofumi Yamada
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
LTEM;
EUVL;
CTE;
optical heterodyne interferometer;
optics;
mask substrate;
93.
High-precision (<1ppb/°C) optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materials
机译:
高精度(<1ppb /°C)光学杂差干涉膨胀计,用于确定EUVL材料的绝对CTE
作者:
Yoshimasa Takeichi
;
Iwao Nishiyama
;
Naofumi Yamada
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
LTEM;
EUVL;
CTE;
optical heterodyne interferometer;
optics;
mask substrate;
94.
Demonstration of phase-shift masks for extreme-ultraviolet lithography
机译:
极端紫外线光刻相移掩模的演示
作者:
Bruno La Fontaine
;
Adam R. Pawloski
;
Obert Wood
;
Yunfei Deng
;
Harry J. Levinson
;
Patrick Naulleau
;
Paul E. Denham
;
Eric Gullikson
;
Brian Hoef
;
Christian Holfeld
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV lithography;
phase-shift masks;
actinic characterization;
95.
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH North
机译:
Sematech North最紫外线微型曝光工具的空中图像建模
作者:
Patrick Naulleau
;
Kim Dean
;
Klaus Lowack
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
extreme ultraviolet;
lithography;
modeling;
aerial image;
resist;
96.
EUV optical system for the Reticle Imaging Microscope (RIM)
机译:
EUV光学系统,用于掩模版成像显微镜(边缘)
作者:
H. Glatzel
;
J. Daniel
;
K. Khajehnouri
;
U. Mueller
;
T. Roff
;
J. Rosenbohm
;
S. Sporer
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
aspheres;
optical metrology;
high-reflectivity coatings;
reflectometry;
surface topology;
polishing;
EUV;
transmitted wavefront error;
97.
Characterization of striae in ULE~reg; for EUVL optics and masks
机译:
EUVL光学和面具的ULE〜®中Striae的特征
作者:
William Rosch
;
Lorrie Beall
;
John Maxon
;
Robert Sabia
;
Robert Sell
;
SPIE-The International Society for Optical Engineering
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
ULE;
EUVL;
photomasks;
striae;
spatial frequency roughness;
retardation;
frequency;
birefringence;
titania;
98.
High-power pulsed CO2 laser for EUV lithography
机译:
用于EUV光刻的高功率脉冲CO2激光器
作者:
Tatsuya Ariga
;
Hideo Hoshino
;
Taisuke Miura
;
Taisuke Miura
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EUV;
Laser Produced Plasma;
CO_2 Laser;
axial flow;
99.
Data conversion system for character projection-type low-energy electron beam direct writing system
机译:
用于字符投影型低能量电子束直接写入系统的数据转换系统
作者:
Ryoichi Inanami
;
Katsumi Kishimoto
;
Kazuhiro Nakai
;
Yoshikazu Ichioka
;
Kiyoshi Kitamura
;
Ryo Yamada
;
Shunko Magoshi
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
EBDW;
EBIS;
Character Projection;
mask-less lithography;
data conversion;
100.
EUV generation from lithium laser plasma for lithography
机译:
从锂激光等离子体的EUV生成光刻
作者:
Simi A. George
;
William Silfvast
;
Kazutoshi Takenoshita
;
Robert Bernath
;
Chiew-Seng Koay
;
Greg Shimkaveg
;
Martin Richardson
;
Moza Al-Rabban
;
Howard Scott
会议名称:
《Conference on Emerging Lithographic Technologies》
|
2006年
关键词:
LASER PLASMAS;
MUV Lithography;
Lithium;
Tin;
EUV source;
EUV spectrocopy;
意见反馈
回到顶部
回到首页