掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual SEMI Advanced Semiconductor Manufacturing Conference
Annual SEMI Advanced Semiconductor Manufacturing Conference
召开年:
2017
召开地:
Saratoga Springs(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Al-Cu interconnect corrosion prevention in post metal etch and wet polymer clean wafers: CFM: Contamination free manufacturing
机译:
金属后蚀刻和湿法聚合物清洁晶片中的Al-Cu互连件防腐蚀:CFM:无污染的制造
作者:
Wan Tatt Wai
;
Ng Cheah Ling
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Erbium;
Corrosion;
Fabrication;
Ions;
Aerosols;
Air quality;
2.
Advanced in-production hotspot prediction and monitoring with micro-topography
机译:
先进的生产热点预测和微地形监测
作者:
P. Fanton
;
A. Lakcher
;
B. Le-Gratiet
;
J-G. Simiz
;
T. Hasan
;
C. Prentice
;
S. Hunsche
;
N. Sen
;
R. La Greca
;
H. Tien
;
L. Depre
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Surfaces;
Metrology;
Fingerprint recognition;
Finite element analysis;
Spatial resolution;
Limiting;
3.
A decision support system for managing line stops of time constraint tunnels: FA, IE
机译:
用于管理时间约束隧道的线路停靠点的决策支持系统:FA,IE
作者:
Alexandre Lima
;
Valeria Borodin
;
Stéphane Dauzère-Pérès
;
Philippe Vialletelle
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Time factors;
Tools;
Decision support systems;
Conferences;
Job shop scheduling;
4.
Amorphous silicon coatings for control of corrosion and metal ion contamination
机译:
用于控制腐蚀和金属离子污染的非晶硅涂层
作者:
David A. Smith
;
Min Yuan
;
Jesse Bischof
;
Luke Patterson
;
James B. Mattzela
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Coatings;
Corrosion;
Surface treatment;
Steel;
Plasma temperature;
Amorphous silicon;
5.
A framework based approach for a low cost factory automation implementation in a LED Fab: FA: Factory automation
机译:
LED Fab中用于低成本工厂自动化实施的基于框架的方法:FA:工厂自动化
作者:
Samivel Krishnamoorthy
;
Arun Venkatapura
;
T. N. Pradeep
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Organizations;
Manufacturing automation;
Visualization;
Production;
Manufacturing;
Industries;
6.
Chamber distortion control in a high volume manufacturing foundry environment
机译:
大批量制造铸造环境中的腔室变形控制
作者:
Jeffrey Mileham
;
Damon Tsai
;
Frida Liang
;
David M. Owen
;
Sean Lee
;
M T Lee
;
T H Chen
;
Maddux Chen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Distortion;
Stress;
Process control;
Monitoring;
Shape;
Tools;
Correlation;
7.
A dynamic sampling strategy based on confidence level of virtual metrology predictions
机译:
基于虚拟计量预测置信度的动态采样策略
作者:
Gian Antonio Susto
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Radio frequency;
Semiconductor device measurement;
Metrology;
Etching;
Training data;
Semiconductor device modeling;
Vegetation;
8.
Characterization of new design small water volume arm for CMP dresser
机译:
CMP修整器新设计的小水量臂的特性
作者:
C. C. Chen
;
T. S. Chen
;
K. Yeh
;
M. H. Cheng
;
J. K. Huang
;
P. W. Hung
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Diamond;
Slurries;
Cleaning;
Planarization;
Atomic layer deposition;
Friction;
9.
Characterization of thin carbonized photoresist layer and investigation of dry strip process through real-time monitored variable temperature control
机译:
通过实时监控可变温度控制表征碳化光刻胶薄层并研究干法剥离工艺
作者:
Je Hyeok Ryu
;
Byoung Hoon Kim
;
Sung Jin Yoon
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Temperature measurement;
Plasma temperature;
Heating systems;
Strips;
Monitoring;
Resists;
10.
Chasing ghosts: How an SRAM detected the subtle impact of stray light
机译:
追逐幽灵:SRAM如何检测杂散光的细微影响
作者:
Stephen Lucarini
;
Bachir Dirahoui
;
Richard Hafer
;
Weihao Weng
;
Laura Safran
;
Sweta Pendyala
;
Karl Barth
;
Timothy Brunner
;
Zhigang Song
;
Brett Engel
;
David Clark
;
Keliang He
;
Cathy Gow
;
Anne Friedman
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Random access memory;
Logic gates;
Sociology;
Statistics;
Transistors;
Lithography;
Low voltage;
11.
A novel approach to fault detection using full sensor trace analytic
机译:
使用完整传感器轨迹分析的故障检测新方法
作者:
Tom Ho
;
Justin Wong
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Fault detection;
Adaptation models;
Maintenance engineering;
Monitoring;
Process control;
12.
Condition-based maintenance of mechanical setup in aluminum wire bonding equipment by data mining
机译:
通过数据挖掘对铝线键合设备的机械设置进行基于状态的维护
作者:
F. Klingert
;
G. Roeder
;
M. Schellenberger
;
A. Bauer
;
L. Frey
;
M. Brueggemann
;
K. Pressel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Wires;
Bonding;
Maintenance engineering;
Transducers;
Force;
Clamps;
13.
A study on film thickness control of vertical flow showerhead reactor for RF plasma deposition
机译:
射频等离子体沉积垂直流喷头反应器的膜厚控制研究
作者:
Guensuk Lee
;
Suhong Kim
;
Seunghyun Seok
;
Junsung Lee
;
Jinseok Lee
;
Sangjin Choi
;
Taeyang Yoon
;
Juhwan Park
;
Han Seo Ko
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Showerhead;
Gas Distribution Plate;
PEALD;
ACL;
Uniformity;
Open Area Ratio;
14.
Datamining for yield
机译:
数据挖掘以提高产量
作者:
Raymond Van Roijen
;
Jeffery B. Maxson
;
Michael Brodfuehrer
;
Bruce Dyer
;
Colleen Meagher
;
Min Dai
;
Javier Ayala
;
Gasner Barthold
;
Michael Steigerwalt
;
Lingjie Wang
;
David McCarthy
;
Trejo Rust
;
Randal Bakken
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Logic gates;
Tools;
Correlation;
Metals;
Leakage currents;
Furnaces;
Market research;
15.
A batch study of trace metal impurities in high-k semiconductor precursors CFM: Contamination free manufacturing
机译:
高k半导体前体CFM中痕量金属杂质的批量研究:无污染制造
作者:
Vijay Chowdhury
;
Mohsina Islam
;
Shi Liu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Contamination;
Hafnium;
Aluminum;
Iron;
Zirconium;
Logic gates;
16.
Defect improvement by optimizing electroplating in BEOL sub-50nm pitch
机译:
通过优化BEOL小于50nm间距的电镀来改善缺陷
作者:
Shafaat Ahmed
;
Ketan Shah
;
Tien-Jen Cheng
;
Adam da Silva
;
Mukta Sharma
;
Teng-Yin Lin
;
Dinesh Koli
;
Anbu Selvam K M Mahalingam
;
Stephan Grunow
;
Craig Child
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Plating;
Copper;
Metallization;
Degradation;
Reliability;
Chemistry;
17.
E-beam inspection BVC (Bright Voltage Contrast) verification for 14nm technology: DI: Defect inspection and reduction
机译:
14纳米技术的电子束检查BVC(高电压对比度)验证:DI:缺陷检查和减少
作者:
Xing J. Zhou
;
Yanan Guo
;
Jason D. Jones
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Logic gates;
Inspection;
Random access memory;
Failure analysis;
Current measurement;
Layout;
Contacts;
18.
E-beam mechanics and net connectivity for interlayer short detection: DI: Defect inspection and reduction
机译:
电子束原理和用于层间短路检测的网络连接:DI:缺陷检查和减少
作者:
Peter Lin
;
Na Cai
;
Sangkee Eah
;
Oliver D. Patterson
;
Weihong Gao
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Logic gates;
Shape;
Topology;
Tools;
Metals;
Voltage measurement;
19.
Embedded spectroscopic reflectometry metrology on FEOL silicon dioxide trench polishing equipment: ER: Equipement reliability and productivity enhancements
机译:
FEOL二氧化硅沟槽抛光设备上的嵌入式光谱反射计量学:ER:设备可靠性和生产率提高
作者:
S. Bourzgui
;
A. Roussy
;
J. Blue
;
G. Georges
;
E. Faivre
;
K. Labory
;
J. Pinaton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Thickness measurement;
Reflectometry;
Semiconductor device modeling;
Substrates;
Silicon;
Data models;
20.
Enabling low temperature metal nitride ALD using ultra-high purity hydrazine: ET/ID: Enabling technologies and innovative devices
机译:
使用超高纯度肼实现低温金属氮化物ALD:ET / ID:实现技术和创新设备
作者:
Dan Alvarez
;
Jeffrey Spiegelman
;
Keisuke Andachi
;
Russell Holmes
;
Mark Raynor
;
Hank Shimizu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Films;
Metals;
Liquids;
Solvents;
Atomic layer deposition;
Manufacturing;
Plasma temperature;
21.
Enhancing yield learning on SoC designs by tracking IP manufacturability
机译:
通过跟踪IP可制造性来增强SoC设计的成品率学习
作者:
Anne Meixner
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
IP networks;
Measurement;
Production facilities;
Standards;
Manufacturing;
Silicon;
Data systems;
22.
Equipment health modeling for deterioration prognosis and fault signatures diagnosis
机译:
设备运行状况建模,以进行劣化预测和故障特征诊断
作者:
Hamideh Rostami
;
Jakey Blue
;
Claude Yugma
;
Jacques Pinaton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Feature extraction;
Monitoring;
Semiconductor device modeling;
Wavelet packets;
Frequency-domain analysis;
Wavelet analysis;
23.
Complementary merits of yield-oriented and reliability-specific monitors for high-quality wafer-manufacturing
机译:
面向良率和可靠性的监视器在高质量晶圆制造方面的优点
作者:
Lieyi Sheng
;
Eddie Glines
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Monitoring;
Semiconductor device reliability;
Phase change materials;
Production;
Manufacturing;
Biomedical monitoring;
24.
Metrology manufacturing control factors: A holistic approach for supporting 14nm and 7nm
机译:
计量制造控制因素:支持14nm和7nm的整体方法
作者:
David Jayez
;
Alok Vaid
;
Eric Solecky
;
Michael Lenahan
;
Dhairya Dixit
;
Charles Largo
;
Georgios Vakas
;
Steve Seipp
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Measurement uncertainty;
Systematics;
Control systems;
Process control;
Industries;
Fault detection;
Pattern recognition;
25.
Effect of friction on CMP in-situ brush cleaning: CFM: Contamination free manufacturing
机译:
摩擦对CMP原位刷清洁的影响:CFM:无污染的制造
作者:
Hong Jin Kim
;
Tae Hoon Lee
;
Venugopal Govindarajulu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Brushes;
Cleaning;
Torque;
Friction;
Contamination;
Force;
Manufacturing;
26.
High growth rate atmospheric epi solution delivers high productivity
机译:
高增长率的大气Epi解决方案可提供高生产率
作者:
Ramakrishnan Bashyam
;
Carlos Caballero
;
Yoshinobu Mori
;
Benjamin Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Conductivity;
Throughput;
Films;
Coatings;
Software;
Standards;
Inductors;
27.
Neon recovery for photolithography
机译:
霓虹灯恢复光刻
作者:
Chris Ebert
;
Sig Stout
;
Karl Heimerl
;
Matt Adams
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Neon;
Gas lasers;
Semiconductor lasers;
Lithography;
Effluents;
Gases;
Purification;
28.
Nested interconnect macro electrical yield improvement for advanced triple patterning integration
机译:
嵌套互连宏电产量提高,用于高级三重图案集成
作者:
Mary Claire Silvestre
;
Ming He
;
Anbu Selvam K M Mahalingam
;
Craig Child
;
Alycia Roux
;
Chun Hui Low
;
Daniel Fisher
;
Yue Zhou
;
DeNeil Park
;
Mert Karakoy
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Etch Iso-Dense CD Bias;
nested serpentine structures;
triple patterning (LELELE);
29.
Enhanced voltage silicon NFET-MESFET cascode amplifiers integrated on a 45nm SOI CMOS technology for RFIC applications: Topic/category: 3D and power technologies
机译:
用于RFIC应用的集成在45nm SOI CMOS技术上的增强电压硅NFET-MESFET共源共栅放大器:主题/类别:3D和电源技术
作者:
P. H. Mehr
;
W. Lepkowski
;
X. Zhang
;
S. Moallemi
;
J. Kitchen
;
T. J. Thornton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
MESFETs;
Logic gates;
MOSFET;
Radio frequency;
CMOS technology;
Power amplifiers;
30.
Imaging of NW placement for 10 nm and beyond
机译:
NW放置10 nm及以上的成像
作者:
Gregory Johnson
;
Jochonia Nxumalo
;
Chrisophter Mollela
;
Christopher DAleo
;
Andrew Dalton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Scanning electron microscopy;
Junctions;
Capacitance;
Laser beams;
Shape;
Random access memory;
31.
Implementation of an effective defect inspection system in a multi product foundry: SM: Smart manufacturing, CFM: Contamination free manufacturing, DI: Defect inspection and reduction, FA: Factory automation/optimization
机译:
在多产品铸造厂中实施有效的缺陷检查系统:SM:智能制造,CFM:无污染制造,DI:缺陷检查和减少,FA:工厂自动化/优化
作者:
Armando Anaya
;
Anthony Singh
;
Patrick Warner
;
Mark Maughan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Tools;
Metrology;
Foundries;
Databases;
Measurement;
32.
Implementation of overall equipment efficiency methodology in the semiconductor test facility ER: Equipment reliability and productivity improvement
机译:
在半导体测试设施中实施整体设备效率方法论ER:设备可靠性和生产率提高
作者:
Michael Romanenko
;
Marcel Baybus
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Throughput;
Measurement;
Tools;
Graphical user interfaces;
Real-time systems;
Production facilities;
33.
Airborne nanoparticle filtration in semiconductor manufacturing CFM: Contamination free manufacturing
机译:
半导体制造CFM中的机载纳米颗粒过滤:无污染制造
作者:
Guillaume Gallet
;
Robin Svedlind
;
Mikael Eriksson
;
Steve Devine
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Media;
Aerosols;
Air cleaners;
Filtration;
Glass;
Nanoparticles;
Atmospheric measurements;
34.
In-line control of material properties of SiOC:H based low-k dielectrics utilizing optical metrology AM: Advanced metrology
机译:
利用光学计量技术对基于SiOC:H的低k电介质的材料特性进行在线控制
作者:
Adam M. Urbanowicz
;
Peter Ebersbach
;
Dmitriy Likhachev
;
David Mezerette
;
Carsten Hartig
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Optical films;
Optical device fabrication;
Optical reflection;
Optical materials;
Dielectrics;
Semiconductor device modeling;
35.
In-line metrology for atomic resolution local height variation
机译:
用于原子分辨率局部高度变化的在线计量
作者:
Tae-Gon Kim
;
Soon-Wook Kim
;
Tom Vanderwayer
;
Ah-Jin Jo
;
Ju Suk Lee
;
Byoung-Woon Ahn
;
Ardavan Zandiatashbar
;
Sang-Joon Cho
;
Sang-il Park
;
Bernd Irmer
;
Sebastian Schmidt
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Metrology;
Reliability;
Nails;
Probes;
Monitoring;
Bonding;
Semiconductor device measurement;
36.
In-line metrology for characterization and control of extreme wafer thinning of bonded wafers
机译:
在线计量学,用于表征和控制键合晶圆的极薄晶圆
作者:
M. Liebens
;
A. Jourdain
;
J. De Vos
;
T. Vandeweyer
;
A. Miller
;
E. Beyne
;
S. Li
;
G. Bast
;
M. Stoerring
;
S. Hiebert
;
A. Cross
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Image edge detection;
Inspection;
Metrology;
Thickness measurement;
Silicon;
Three-dimensional displays;
Bonding;
37.
Inline wafer edge inspection system for yield enhancement of thin wafers
机译:
在线晶圆边缘检测系统可提高薄晶圆的产量
作者:
T. Strapacova
;
R. Priewald
;
T. Jerman
;
C. Mentin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Image edge detection;
Semiconductor device measurement;
Inspection;
Production;
Shape;
Adaptive optics;
Optical imaging;
38.
Innovative scalable design based care area methodology for defect monitoring in production
机译:
基于可扩展设计的创新型护理区方法可用于生产中的缺陷监控
作者:
Ian Tolle
;
Ankit Jain
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Sensitivity;
Logic gates;
Tools;
Metals;
Optical sensors;
Monitoring;
39.
Investigation of defective bonding pad affected by the contamination of Front Opening Unified Pod (FOUP)
机译:
调查受前开口统一盒(FOUP)污染影响的有缺陷的焊盘
作者:
Soon Seok Kwon
;
Sung Min Hwang
;
Hyoung Ryeun Kim
;
Hee Chang Jang
;
Jeong Hoon Hong
;
Gil Joo Song
;
Hyun Yul Park
;
Jin Young Kim
;
Tae Yong Noh
;
Seoung-Kyo Yoo
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Ions;
Bonding;
Etching;
Wires;
Aluminum;
Surface contamination;
40.
Investigations on elimination of plasma-induced Si substrate damage for 3D NAND fabrication: AEPM: Advanced equipment processes and materials
机译:
消除3D NAND制造中等离子体引起的Si衬底损坏的研究:AEPM:先进的设备工艺和材料
作者:
Chih-Yao Lin
;
Chieh Lo
;
Wei-Chen Chen
;
Zusing Yang
;
Sheng-Yuan Chang
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Ta-Hone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Substrates;
Etching;
Silicon;
Plasmas;
Three-dimensional displays;
Implants;
41.
Measuring the wafer temperature in CVD tools using the wireless SensArray HighTemp-400 wafer
机译:
使用无线SensArray HighTemp-400晶圆在CVD工具中测量晶圆温度
作者:
J. Engelmann
;
D. Chu
;
T. Dupraz
;
R. Haupt
;
C. Hennesthal
;
H. Pflug
;
V. Jaschke
;
M. Stadel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Process control;
Metrology;
42.
Method and structure to reduce leakage for ESD device: ET/ID: Enabling technologies and innovative devices
机译:
减少ESD设备泄漏的方法和结构:ET / ID:支持技术和创新设备
作者:
Ahmed Y. Ginawi
;
Nazmul Habib
;
Mujahid Muhammad
;
Jeanne P. Bickford
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Electrostatic discharges;
Clamps;
Manufacturing;
Systems operation;
Logic gates;
Field effect transistors;
Discharges (electric);
43.
New methodologies: Development of focus monitoring on product
机译:
新方法:开发产品重点监控
作者:
Xueli Hao
;
Fang Fang
;
Young Ki Kim
;
Juan-Manuel Gomez
;
Vidya Ramanathan
;
Christian Sparka
;
Pradeep Subrahmanyan
;
Dimitry Sanko
;
Stilian Pandev
;
Sanjay Kapasi
;
Zhou Ren
;
Markus Mengel
;
Janay Camp
;
Pedro Herrera
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Monitoring;
Tools;
Current measurement;
Finite element analysis;
Maintenance engineering;
Market research;
Fingerprint recognition;
44.
New WLCSP scheme for device reliability improvement: YE: Yield enhancement/learning
机译:
用于提高设备可靠性的新WLCSP方案:YE:提高产量/学习
作者:
Blenny Chang
;
W. C. Huang
;
Harry Ku
;
M. J. Lii
;
C. S. Chen
;
T. D. Wang
;
H. H. Chen
;
K. C. Chang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Stress;
Polymers;
Polymer films;
Strain;
Semiconductor device reliability;
Thermal stresses;
45.
Nontraditional post develop inspection and review strategy for via defects
机译:
非传统的后期开发检查和审查通孔缺陷的策略
作者:
Michael Daino
;
Ankit Jain
;
Weihong Gao
;
Qian Xie
;
Michael Wojtowecz
;
Panneerselvam Venkatachalam
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Monitoring;
Lithography;
Modulation;
Qualifications;
Numerical analysis;
Production;
46.
Novel temperature measurement and process control in anneal
机译:
退火中的新型温度测量和过程控制
作者:
Shih-En Tseng
;
Yi-Chuan Liao
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Temperature sensors;
Temperature measurement;
Temperature distribution;
Tools;
Robot sensing systems;
Conductivity;
Heating systems;
47.
On-product eBeam overlay topic/category AM: Advanced metrology
机译:
产品上的eBeam重叠主题/类别AM:高级计量
作者:
Mert Karakoy
;
Yue Zhou
;
Dongsuk Park
;
Zhenhua Ge
;
Amit Siany
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Adaptive optics;
Optical sensors;
Optical variables measurement;
Optical imaging;
Metrology;
Semiconductor device measurement;
Optical diffraction;
48.
A market-oriented wafer map optimization methodology using differential evolution to maximize wafer productivity
机译:
以市场为导向的晶圆图优化方法,使用差分进化来最大化晶圆生产率
作者:
Jong-Seong Kim
;
Chang Wook Ahn
;
Tae-Woo Kim
;
Hyun-Jin Lee
;
Jong-Bae Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Productivity;
Throughput;
Semiconductor device modeling;
Lithography;
Optimization;
Industries;
49.
Process tool uptime improvement through reduction in subfab exhaust abatement unit faults
机译:
通过减少子排气排放单元故障来提高工艺工具的正常运行时间
作者:
Chris Macrillo
;
Bill Copeland
;
Adam Stover
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Reliability;
Productivity;
50.
Dual lobe shading of surface copper voids in copper metal lines: YE: Yield enhancement/learning
机译:
铜金属线中的表面铜空洞的双瓣阴影:YE:产量提高/学习
作者:
Gagan Aggarwal
;
Daniel Henke
;
Seiichi Takedai
;
Rajyalakshmi Anantatmukala
;
Brian Huber
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Copper;
Chemistry;
Plating;
Conferences;
Electrostatics;
Surface cleaning;
51.
Rapid, semi-quantitative depth profiling of ultra-thin films using plasma profiling time-of-flight mass spectrometry: AM: Advanced metrology
机译:
使用等离子体轮廓飞行时间质谱快速,半定量深度轮廓分析超薄膜:AM:高级计量
作者:
Yann Mazel
;
Jean-Paul Barnes
;
Emmanuel Nolot
;
Agnès Tempez
;
Sébastien Legendre
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Ions;
Silicon;
Plasmas;
Contamination;
Superlattices;
Annealing;
Sputtering;
52.
Manufacturing optimization for silicon trench rectifiers using NiPt salicide: NiPt salicide formation to modulate electrical parameters
机译:
使用NiPt硅化物的硅沟槽整流器的制造优化:形成NiPt硅化物来调节电参数
作者:
Mike Thomason
;
Mohammed Quddus
;
Mihir Mudholkar
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Silicides;
Silicon;
Annealing;
Rectifiers;
Nickel;
Logic gates;
53.
Reducing SNV defect rates and wafer TAT in high volume semiconductor manufacturing
机译:
降低大批量半导体制造中的SNV缺陷率和晶圆TAT
作者:
Aviad Fuchs
;
Haim Pearl
;
Andrei Miller
;
Ofer Shopen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Tools;
Inspection;
XML;
Testing;
Productivity;
Throughput;
54.
Reduction of wafer arcing during high aspect ratio etching
机译:
在高深宽比蚀刻过程中减少晶圆电弧
作者:
Zusing Yang
;
Min-Feng Hung
;
Kuo-Pin Chang
;
Chih-Yao Lin
;
Sheng-Yuan Chang
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Etching;
Plasmas;
Three-dimensional displays;
Grounding;
Optimization;
Layout;
55.
Reduction, reclaim and reuse of sulfuric acid in piranha cleans: GF: Green factory
机译:
食人鱼清洗液中硫酸的还原,回收和再利用:GF:绿色工厂
作者:
Sushil K. R. Patil
;
Ioan Baru
;
Albert Franzi
;
Mark Conrad
;
Sneha Gaddam
;
Tae-Hoon Kim
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Tools;
Surface treatment;
Cleaning;
Hydrogen;
Generators;
56.
Process window discovery, expansion and control of design hotspots susceptible to overlay failures
机译:
易于发现重叠故障的设计热点的过程窗口发现,扩展和控制
作者:
Kaushik Sah
;
Andrew Cross
;
Antonio Mani
;
Dieter Van Den Heuvel
;
Philippe Foubert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Optical imaging;
Optical variables measurement;
Correlation;
Optical modulation;
Optical polarization;
57.
SEM imaging and automated defect analysis at advanced technology nodes (DI: Defect inspection and reduction)
机译:
先进技术节点的SEM成像和自动缺陷分析(DI:缺陷检查和减少)
作者:
Abhinav Jain
;
John G. Sheridan
;
Rita Xing
;
Felix Levitov
;
Shay Yasharzade
;
Hoang Nguyen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Tools;
Scanning electron microscopy;
Navigation;
Inspection;
Manuals;
Sensitivity;
58.
Anomaly detection through on-line isolation Forest: An application to plasma etching
机译:
通过在线隔离林进行异常检测:在等离子体蚀刻中的应用
作者:
Gian Antonio Susto
;
Alessandro Beghi
;
Seán McLoone
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Monitoring;
Etching;
Manufacturing;
Control charts;
Data models;
Training;
59.
Solved: the mystery of bright voltage contrast word-line defects for SOI technology using nanoprobing
机译:
解决:使用纳米探针的SOI技术的亮电压对比字线缺陷之谜
作者:
Oliver D. Patterson
;
Richard F. Hafer
;
Sweta Pendyala
;
Zhigang Song
;
Brian Yueh-Ling Hsieh
;
Xiaohu Tang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Logic gates;
Random access memory;
Failure analysis;
Grounding;
Dielectrics;
FinFETs;
60.
Gate oxide yield improvement for 0.18μm power semiconductor devices with deep trenches: DP: Discrete and power devices
机译:
具有深沟槽的0.18μm功率半导体器件的栅氧化物产量提高:DP:分立器件和功率器件
作者:
B. Greenwood
;
A. Suhwanov
;
D. Daniel
;
S. Menon
;
D. Price
;
S. Hose
;
J. Guo
;
G. Piatt
;
M. Lu
;
Y. Watanabe
;
Y. Kanuma
;
R. Takada
;
L. Sheng
;
J. P. Gambino
;
Oli Whear
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Logic gates;
Silicon;
Stress;
Oxidation;
Silicon compounds;
Annealing;
Power semiconductor devices;
61.
Structural integrity optimization of 3D TSV package by analyzing crack behavior at TSV and BEOL
机译:
通过分析TSV和BEOL处的裂纹行为来优化3D TSV封装的结构完整性
作者:
Unique Rahangdale
;
Pavan Rajmane
;
Aniruddha Doiphode
;
Abel Misrak
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Through-silicon vias;
Electronic packaging thermal management;
Thermal force;
Integrated circuit modeling;
Substrates;
Finite element analysis;
Three-dimensional displays;
62.
Constraint programming approach for scheduling batch operations with incompatible job families in fab and compatible job families in backend: FA: Factory automation
机译:
约束编程方法,用于调度具有工厂中不兼容的作业族和后端中兼容的作业族的批处理操作:FA:工厂自动化
作者:
Andy Ham
;
John Fowler
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Computational modeling;
Job shop scheduling;
Mathematical model;
Linear programming;
Programming;
Optimization;
Drones;
63.
Spin coating modeling and planarization using fill patterns for advanced packaging technologies
机译:
使用填充图案进行先进的包装技术进行旋涂建模和平面化
作者:
Christopher Lang
;
Duane Boning
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
TV;
Coatings;
Surfaces;
Two dimensional displays;
Dielectrics;
Polyimides;
Predictive models;
64.
Study of sol-gel type ceria particle for CMP process in leading-edge CMOS device: YE: Yield enhancement/learning
机译:
尖端CMOS器件中用于CMP工艺的溶胶-凝胶型二氧化铈颗粒的研究:YE:提高/学习
作者:
Dinesh Kumar Penigalapati
;
Ji Chul Yang
;
Amarnath Jha
;
Tai Fong Chao
;
Dinesh Koli
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Slurries;
Surface topography;
Planarization;
Rough surfaces;
Surface roughness;
Monitoring;
65.
Study on defect reduction for high aspect ratio etch process
机译:
高深宽比蚀刻工艺的缺陷减少研究
作者:
Jinseok Lee
;
Myoungwoon Kim
;
Jaebong Lee
;
Jiho Uh
;
Sangjin Choi
;
Taeyang Yoon
;
Kyuchul Shim
;
Kyoungsoo Lee
;
Hoosub Cheon
;
Taekyun Kang
;
Han Seo Ko
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Polymers;
Electric potential;
Etching;
Radio frequency;
Hardware;
Electric fields;
Flash memories;
66.
Terahertz multispectral imaging of epitaxially grown semiconductors' lattice defects
机译:
外延生长的半导体晶格缺陷的太赫兹多光谱成像
作者:
Anis Rahman
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Imaging;
Image reconstruction;
Three-dimensional displays;
Silicon germanium;
Lattices;
Stacking;
Silicon;
67.
Terminal metal inspection yield improvement YE: Yield enhancement
机译:
终端金属检查良率的提高YE:良率提高
作者:
Jeanne P. Bickford
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Metals;
Inspection;
Power grids;
Redundancy;
Wiring;
Manufacturing;
68.
Particle measurements with liquid-borne particle counters: Effects of counting efficiency
机译:
使用液体颗粒计数器进行颗粒测量:计数效率的影响
作者:
Bin Liu
;
Shi Liu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Radiation detectors;
Particle measurements;
Atmospheric measurements;
Pollution measurement;
Semiconductor device measurement;
Surface contamination;
69.
Top-down delayering by low energy, broad-beam, argon ion milling — a solution for microelectronic device process control and failure analyses
机译:
低能量,宽束,氩离子铣削实现自上而下的延迟-微电子器件过程控制和故障分析的解决方案
作者:
Pawel Nowakowski
;
Mary Ray
;
Paul Fischione
;
James Sagar
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Three-dimensional displays;
Flash memories;
Instruments;
Argon;
Ions;
Milling;
Process control;
70.
The criticality of sub-components utilized for next-generation high-volume manufacturing
机译:
用于下一代大批量生产的子组件的重要性
作者:
Ya-hong Neirynck
;
Dalia Vernikovsky
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Industries;
Seals;
Semiconductor device measurement;
Process control;
Standards;
Supply chains;
71.
The effect of H3PO4 processing on LDMOS gate oxide integrity in PolySilicon buffered LOCOS
机译:
H3PO4处理对多晶硅缓冲LOCOS中LDMOS栅极氧化物完整性的影响
作者:
Tan Chan Lik
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Silicon;
Logic gates;
Degradation;
Capacitors;
Stress;
Optimization;
Failure analysis;
72.
Run to run controller resulting in stable critical dimensions during plasma etch: APC: Advanced process control
机译:
连续运行的控制器可在等离子蚀刻期间产生稳定的关键尺寸:APC:先进的工艺控制
作者:
Mauerer Alexander
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Fluid flow;
Etching;
Polymers;
Process control;
Tools;
Flexible printed circuits;
Couplings;
73.
Bessel decomposition of temperature profiles in film deposition reactors
机译:
薄膜沉积反应器中温度分布的贝塞尔分解
作者:
Keung Hui
;
Jason Mou
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Temperature measurement;
Inductors;
Mathematical model;
Heating systems;
Thickness measurement;
Fault detection;
Heat transfer;
74.
Bipolar NPN ICEO leakage due to PETEOS deposition
机译:
PETEOS沉积导致双极NPN ICEO泄漏
作者:
Jeffrey Klatt
;
Scott Arsenault
;
Thanas Budri
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Carbon;
Silicon germanium;
Epitaxial growth;
Silicon;
Atomic layer deposition;
Plasmas;
Furnaces;
75.
Photoluminescence for in-line buried defects detection in silicon devices
机译:
用于硅器件中在线掩埋缺陷检测的光致发光
作者:
Romain Duru
;
Delphine Le-Cunff
;
Maxime Cannac
;
Nicolas Laurent
;
Laszlo Dudas
;
Zoltan Kiss
;
David Cseh
;
Imre Lajtos
;
Frédéric Jay
;
Gyorgy Nadudvari
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Silicon;
Optical imaging;
Optical sensors;
Optical filters;
Etching;
Photoluminescence;
76.
Semiconductor manufacturing simulation design and analysis with limited data: IE: Industrial engineering
机译:
有限数据的半导体制造仿真设计和分析:IE:工业工程
作者:
Bahar Biller
;
Onur Dulgeroglu
;
Canan Gunes Corlu
;
Michael Hartig
;
Ronald J. Olson
;
Peter Sandvik
;
Gerald Trant
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Analytical models;
Data models;
Uncertainty;
Silicon carbide;
Manufacturing;
Stochastic processes;
Random variables;
77.
Silicon photonic wafer fabrication for education
机译:
硅光子晶圆制造教育
作者:
Sanjna Lakshminarayanamurthy
;
Nathan A. Rummage
;
Neville A. Mogent
;
Dale E. Ewbank
;
Jeffrey A. Steidle
;
Michael L. Fanto
;
Paul M. Thomas
;
Stefan F. Preble
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Couplers;
Gratings;
Optical ring resonators;
Silicon photonics;
Optical waveguides;
Fabrication;
78.
Practical aspects of TMU based analysis for scatterometry model referencing AM: Advanced metrology
机译:
基于TMU的参考AM的散射测量模型分析的实践方面:高级计量
作者:
Carsten Hartig
;
Adam M. Urbanowicz
;
Alok Vaid
;
Peter Ebersbach
;
Daniel Fischer
;
Robert Melzer
;
Francisco Sanchez
;
David Mezerette
;
Yinon Katz
;
Matthew Sendelbach
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Metrology;
Tools;
Semiconductor device modeling;
Radar measurements;
Measurement uncertainty;
Uncertainty;
Semiconductor device measurement;
79.
TSV front end design, integration, and process development unique cell design and process integration
机译:
TSV前端设计,集成和过程开发独特的单元设计和过程集成
作者:
Mike Thomason
;
Gordy Girvna
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Through-silicon vias;
Silicon;
Computer architecture;
Microprocessors;
Resistance;
Reliability;
Stress;
80.
Simultaneous front and back side Cu metallization on power chips: DP: Discrete and power devices or ET/ID: Enabling technologies and innovative devices
机译:
功率芯片上同时进行正面和背面铜金属化:DP:分立和功率设备或ET / ID:支持技术和创新设备
作者:
Cassandra Melvin
;
Bernd Roelfs
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Plating;
Tools;
Bonding;
Packaging;
Reliability;
Wires;
Process control;
81.
The method for measurement of the real overdrive: YE: Yield enhancement/learning
机译:
实际过载的测量方法:YE:产量提高/学习
作者:
SungHoon Lee
;
ByoungJoo Kim
;
JaeHyoung Park
;
SangKyu Yoo
;
JaeWook Jeon
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Pins;
Probes;
Contacts;
Plastics;
Force;
Semiconductor device measurement;
Correlation;
82.
Understanding process and design systematics: Case study on monitoring strategy and understanding root cause of fin defectivity
机译:
理解过程和设计系统:以监测策略为例并了解鳍缺陷的根本原因
作者:
Alisa Blauberg
;
Vikas Sachan
;
John Lemon
;
Garo Derderian
;
Ankit Jain
;
Barry Saville
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Inspection;
Systematics;
Algorithm design and analysis;
Monitoring;
Tools;
FinFETs;
Sensitivity;
83.
Kelvin probe force microscopy of gate stack metal alloy films
机译:
栅堆叠金属合金膜的开尔文探针力显微镜
作者:
Jeffrey Hurst
;
Kin-Sang Lam
;
Clint Bordelon
;
Michael Wilson
;
Brian Smith
;
Shane Phillips
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Metals;
Films;
Silicon;
Probes;
Substrates;
Logic gates;
Electric variables measurement;
84.
Stability study of native epitaxial transistors as process probe for contamination detection
机译:
原生外延晶体管作为过程探针进行污染检测的稳定性研究
作者:
Moshe Agam
;
Agajan Suwhanov
;
Ashley Lee
;
Josh Henninger
;
Sallie Hose
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Contamination;
Transistors;
Tools;
Resists;
Implants;
Threshold voltage;
Epitaxial growth;
85.
Laser grooving profile optimization for chip strength enhancement
机译:
激光切槽轮廓优化可增强切屑强度
作者:
P. S. Tsai
;
J. H. Chen
;
R. D. Wang
;
C. S. Liu
;
Harry Ku
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Power lasers;
Feeds;
Reliability;
86.
Spring-lattice model for fast, flexible and easy strain prediction in semiconductor devices ET/ID: Enabling technologies and innovative devices
机译:
用于快速,灵活和轻松地预测半导体器件ET / ID中的弹簧晶格模型:支持技术和创新设备
作者:
Frieder H. Baumann
;
Maxime J-F Guinel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Strain;
Lattices;
Silicon germanium;
Springs;
Computational modeling;
Silicon;
Semiconductor device modeling;
87.
STT-RAM device performance improvement using CMP process
机译:
使用CMP工艺提高STT-RAM设备的性能
作者:
Sajjad Hassan
;
Lin Xue
;
Jaesoo Anh
;
Mahendra Pakala
;
Garrett Sin
;
Motoya Okazaki
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Random access memory;
Magnetic tunneling;
Performance evaluation;
Tunneling magnetoresistance;
Coercive force;
Plugs;
Cleaning;
88.
High throughput RST metrology to optimize 3D integration: AM: Advanced metrology
机译:
高通量RST度量以优化3D集成:AM:先进的度量
作者:
Julia Brueckner
;
Moritz Jurgschat
;
Dzmitry Starukhin
;
Jim Hewell
;
Kurt Bossart
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Hafnium;
89.
Raman spectroscopy analysis utilized to identify stress induced leakage from power and high speed technologies using deep trench isolation schemes
机译:
拉曼光谱分析用于利用深沟槽隔离方案识别应力和功率技术带来的泄漏
作者:
Courtney Parker
;
Thanas Budri
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Stress;
Raman scattering;
BiCMOS integrated circuits;
Diffusion tensor imaging;
Stress measurement;
Tools;
Silicon;
90.
Strategies for reducing particle defects in ALD TiN and RFPVD Ti processes CFM: Contamination free manufacturing
机译:
减少ALD TiN和RFPVD Ti工艺中颗粒缺陷的策略CFM:无污染的制造
作者:
Aditya Kumar
;
Bhavyen Patel
;
Jean-Baptiste Laloe
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2017年
关键词:
Tin;
Surface morphology;
Morphology;
Surface treatment;
Manufacturing;
Rough surfaces;
意见反馈
回到顶部
回到首页