首页> 外文会议>Great lakes symposium on VLSI >Collaborative Voltage Scaling with Online STA and Variable-Latency Datapath
【24h】

Collaborative Voltage Scaling with Online STA and Variable-Latency Datapath

机译:具有在线STA和可变延迟数据路径的协作电压缩放

获取原文

摘要

This paper presents an event-driven adaptive voltage scaling (AVS) system, where a vanguard collaborates with a rearguard to find a minimal supply voltage. While the vanguard is responsible for the slack cycle time estimation, the rearguard allows voltage over-scaling with a variable-latency datapath. An improved vanguard is proposed based on online static timing analysis (STA), which monitors a scalable number of critical path candidates at run time. In our simulations, the delay estimation error is within 10%, which is relatively small compared to critical path variations of prevailing multi-Vt designs in deep-submicron era. A testchip with a 32-bit tiny RISC has been fabricated with the TSMC 65nm LP process technology to demonstrate the effectiveness.
机译:本文提出了一个事件驱动的自适应电压缩放(AVS)系统,其中Vanguard与后卫协作以找到最小的电源电压。虽然Vanguard负责Slack循环时间估计,但后者允许使用可变延迟数据路径进行电压过度缩放。基于在线静态定时分析(STA)的提出了一种改进的先锋,该分析在运行时监视可扩展数量的关键路径候选。在我们的模拟中,延迟估计误差在10%以内,与Deep-Simmicron时代的主要多VT设计的关键路径变化相比相对较小。采用TSMC 65nm LP工艺技术制造了具有32位微小RISC的Testchip,以证明效果。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号