首页> 外文会议>2011 International Symposium on Electronic System Design >Instruction Scheduling on Variable Latency Functional Units of VLIW Processors
【24h】

Instruction Scheduling on Variable Latency Functional Units of VLIW Processors

机译:VLIW处理器的可变延迟功能单元的指令调度

获取原文

摘要

In Very Long Instruction Word (VLIW) processors, based on the available instruction-level parallelism in programs, compilers schedule operations onto different functional units. By assuming all the functional units of same kind and having the same latency, the conventional list-scheduling algorithm selects the first available (free) functional unit to schedule an operation. But, in advanced process technologies due to process variation, functional units of same kind may have different latencies. In such situation, conventional scheduling algorithms may not yield good performance. In this work, we address an interesting problem of how to schedule operations on variable latency functional units of a VLIW processor. We propose an algorithm to schedule operations on non-uniform latency functional units and compare our algorithm with the conventional list-scheduling algorithm.
机译:在超长指令字(VLIW)处理器中,基于程序中可用的指令级并行性,编译器将操作调度到不同的功能单元上。通过假定所有相同种类的功能单元并具有相同的等待时间,常规的列表调度算法选择第一个可用(免费)功能单元来调度操作。但是,在先进的工艺技术中,由于工艺变化,同类功能单元可能具有不同的延迟。在这种情况下,常规的调度算法可能不会产生良好的性能。在这项工作中,我们解决了一个有趣的问题,即如何在VLIW处理器的可变延迟功能单元上安排操作。我们提出一种算法来调度非均匀等待时间功能单元上的操作,并将我们的算法与常规列表调度算法进行比较。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号