首页> 外文会议>Conference on Emerging Lithographic Technologies >Dual Damascene BEOL processing using multilevel step and flash imprint lithography
【24h】

Dual Damascene BEOL processing using multilevel step and flash imprint lithography

机译:使用多级步骤和闪光印迹光刻的双层镶嵌BEOL处理

获取原文

摘要

Step and Flash Imprint Lithography (S-FIM) in conjunction with Sacrificial Imprint Materials (SIM)shows promise as a cost effective solution to patterning sub 45 nm features and is capable ofsimultaneously patterning two levels of interconnect structures, which provides a high throughputand low cost BEOL process. This paper describes the integration of S-FIL into an industrystandard Cu/low-k dual damascene process that is being practiced in the ATDF at Sematech inAustin. The pattern transferring reactive ion etching (RIE) process is the most critical step andwas extensively explored in this study. In addition to successful process development, the resultsprovide useful insight into the optimal design of multilevel templates which must take into accountthe characteristics of both the imaging material and the dielectric layer. The template used in this study incorporates both the via and trench levels of an M2 (Metal 2) testvehicle that incorporates via chains with varying via dimensions, Kelvin test structures,serpentines, etc. The smallest vias on the template are 120 nm vias with an aspect ratio of 2.0and the smallest dense lines are 125 nm/175 nm with an aspect ratio of 2.9. Two inter-leveldielectrics (ILD), Coraland Black Diamond~were studied. No trench etch stop was incorporatedin the ILD film stack. A multi-step, in-situ etching scheme was developed that achieves faithfulpattern transfer from the sacrificial imprint material (SIM) into the underlying low k ILD withsurprisingly wide process latitude. This multi-step scheme includes the following etch steps: aresidual layer open, a via etch, a trench descum, a trench etch, and an SIM removal ash. Amongthese steps, the trench etch was found to be the most challenging to develop and it holds the keyto producing high aspect ratio dual damascene features. An etching chemistry based on twofluorocarbon gases, CF_4and C_4F_8, was found to be very effective in delivering the desired etchprofiles with optimal sidewall angle, minimal facet formation. The optimized etch process can beexploited to provide substantial size reduction and/or increased aspect ratio relative to thetemplate. In this way structures with final critical dimensions of 95 nm in vias with aspect ratio of3.0 and 67 nm/233 nm in dense lines with aspect ratio of 3.6 were demonstrated with wideprocess latitude. This enables manufacturing of the template at larger dimensions, whichsimplifies both fabrication and inspection. The successful development of the dual damascene RIE process at the second metal (M2) levelwas demonstrated in a mixed and matched build with an ATDF standard first layer metal (M1)process. The M1 dielectric was TEOS and was patterned by 248 nm lithography. The M2 and Vialevels used Coral as ILD and both levels were patterned simultaneously by S-FIL using MolecularImprint Imprio 55 and lmprio 100 imprint tools. This electrical test vehicle provided solid evidencethat S-FIL is fully compatible with industry standard dual damascene process.
机译:步骤和闪存印记光刻(S-FIM)与牺牲印记材料(SIM)相结合,可以作为模特算45nm特性的经济性能,并且能够实现两级互连结构,这提供了高通量的互连结构BEOL流程。本文介绍了S-FIL将S-FIL集成到SEMATECH OUATASIN的ATDF中正在实践的INDUSTRY标准的CU /低k双镶嵌过程中。传递反应离子蚀刻(RIE)过程是本研究中最关键的步骤和谐。除了成功的流程开发之外,结果还将有用的深入了解多级模板的最佳设计,该模板必须考虑成像材料和介电层的特性。本研究中使用的模板包括M2(金属2)睾丸的通孔和沟槽水平,其通过尺寸,开尔文试验结构,蛇形等的通过链掺入。模板上的最小通孔是120nm的通孔纵横比为2.0和最小致密线为125nm / 175nm,纵横比为2.9。研究了两个inter-leveldie电气(ILD),Coraland Black Diamond〜。没有沟槽蚀刻停止掺入ILD薄膜叠层。开发了一种多步,原位蚀刻方案,其实现了从牺牲压印材料(SIM)的忠实型拼图转移到具有所需的宽阔的过程纬度的下面的低K ILD。该多步方案包括以下蚀刻步骤:渐变层打开,通孔蚀刻,沟槽切割,沟槽蚀刻和SIM去除灰。在步骤中,发现沟槽蚀刻是最具挑战性的开发,并且它具有产生高纵横比双镶嵌特征的关键。发现基于双氟碳化合物的蚀刻化学CF_4和C_4F_8,在以最佳的侧壁角度,最小的刻面形成,非常有效地非常有效。优化的蚀刻工艺可以销售,以提供相对于θplate的大小减小和/或增加的纵横比。以这种方式,具有3.0和67nm / 233nm的宽高比为3.6的宽度比为3.6的纵横比为3.6的纵横比的通孔中最终临界尺寸的结构被宽阔的纬度。这使得能够在更大的尺寸下制造模板,这使得制造和检查。使用ATDF标准第一层金属(M1)工艺在混合和匹配的构建中展示了第二种金属(M2)水平的双层镶嵌级别的成功开发。 M1电介质是TEOS,并由248nm光刻图案化。使用分子样本IMPRIO555和LMPRIO 100印记工具,S-FIL同时使用珊瑚和两个水平使用珊瑚和两个水平。该电动试验车提供了Solid Evidencethat S-Fil与Industry标准双镶嵌过程完全兼容。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号