掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Asia and South Pacific Design Automation Conference
Asia and South Pacific Design Automation Conference
召开年:
2017
召开地:
Tokyo(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
AGARSoC: Automated test and coverage-model generation for verification of accelerator-rich SoCs
机译:
AGARSoC:自动测试和覆盖模型生成,用于验证加速器丰富的SoC
作者:
Biruk Mammo
;
Doowon Lee
;
Harrison Davis
;
Yijun Hou
;
Valeria Bertacco
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Software;
Synchronization;
Acceleration;
Analytical models;
Registers;
Life estimation;
Writing;
2.
Feature extraction from design documents to enable rule learning for improving assertion coverage
机译:
从设计文档中提取特征以启用规则学习以改善断言覆盖率
作者:
Kuo-Kai Hsieh
;
Sebastian Siatkowski
;
Li-C. Wang
;
Wen Chen
;
Jayanta Bhadra
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Feature extraction;
Tagging;
Text mining;
Measurement;
Registers;
Decision trees;
Context;
3.
Scalable frequent-pattern mining on nonvolatile memories
机译:
非易失性存储器上的可扩展频繁模式挖掘
作者:
Yi Lin
;
Po-Chun Huang
;
Duo Liu
;
Liang Liang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Nonvolatile memory;
Data mining;
Scalability;
Radiation detectors;
Random access memory;
Algorithm design and analysis;
Phase change materials;
4.
VOLtA: Voltage over-scaling based lightweight authentication for IoT applications
机译:
VOLtA:针对物联网应用的基于电压超标的轻量认证
作者:
Md Tanvir Arafin
;
Mingze Gao
;
Gang Qu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Internet of Things;
cryptographic protocols;
digital signatures;
5.
Trust is good, control is better: Hardware-based instruction-replacement for reliable processor-IPs
机译:
信任良好,控制更好:基于硬件的指令替换可实现可靠的处理器IP
作者:
Kenneth Schmitz
;
Arun Chandrasekharan
;
Jonas Gomes Filho
;
Daniel Große
;
Rolf Drechsler
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
DSL;
Reliability;
Containers;
Hardware;
Software;
Process control;
Computer bugs;
6.
Efficient floating point precision tuning for approximate computing
机译:
用于近似计算的高效浮点精度调整
作者:
Nhut-Minh Ho
;
Elavarasi Manogaran
;
Weng-Fai Wong
;
Asha Anoosheh
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Tuning;
Training;
Approximate computing;
Field programmable gate arrays;
Digital signal processing;
Electronic mail;
Program processors;
7.
Close-to-optimal placement and routing for continuous-flow microfluidic biochips
机译:
连续流微流控生物芯片的接近最佳放置和布线
作者:
Andreas Grimmer
;
Qin Wang
;
Hailong Yao
;
Tsung-Yi Ho
;
Robert Wille
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Routing;
Physical design;
Valves;
Complexity theory;
Mixers;
Engines;
Design methodology;
8.
ASP-DAC 2017 keynote speech III: All-programmable FPGAs: More powerful devices require more powerful tools
机译:
ASP-DAC 2017主题演讲III:全可编程FPGA:功能更强大的设备需要功能更强大的工具
作者:
Steve Trimberger
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Field programmable gate arrays;
Computer architecture;
Design automation;
Security;
Conferences;
Hardware;
Speech;
9.
A 13.56 MHz on/off delay-compensated fully-integrated active rectifier for biomedical wireless power transfer systems
机译:
用于生物医学无线功率传输系统的13.56 MHz开/关延迟补偿的全集成有源整流器
作者:
Lin Cheng
;
Wing-Hung Ki
;
Tak-Sang Yim
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Rectifiers;
Delays;
Voltage measurement;
Wireless power transfer;
Biomedical measurement;
Feedback loop;
Power measurement;
10.
A wireless power receiver with a 3-level reconfigurable resonant regulating rectifier for mobile-charging applications
机译:
具有3级可重配置谐振调节整流器的无线电力接收器,用于移动充电应用
作者:
Lin Cheng
;
Wing-Hung Ki
;
Chi-Ying Tsui
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Receivers;
Rectifiers;
Wireless communication;
Voltage control;
Capacitors;
Power conversion;
System-on-chip;
11.
Security analysis of Anti-SAT
机译:
反SAT的安全性分析
作者:
Muhammad Yasin
;
Bodhisatwa Mazumdar
;
Ozgur Sinanoglu
;
Jeyavijayan Rajendran
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Logic gates;
Encryption;
System-on-chip;
Resilience;
12.
A 14bit 80kSPS non-binary cyclic ADC without high accuracy analog components
机译:
一个没有高精度模拟组件的14位80kSPS非二进制循环ADC
作者:
Yuki Watanabe
;
Hayato Narita
;
Hiroyuki Tsuchiya
;
Tatsuji Matsuura
;
Hao San
;
Masao Hotta
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Capacitors;
Linearity;
Capacitance;
Estimation;
Prototypes;
CMOS technology;
Calibration;
13.
Efficient parallel verification of Galois field multipliers
机译:
Galois场乘法器的高效并行验证
作者:
Cunxi Yu
;
Maciej Ciesielski
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Logic gates;
Computers;
Mathematical model;
Algebra;
Integrated circuit modeling;
Computational modeling;
Standards;
14.
Property mining using dynamic dependency graphs
机译:
使用动态依赖图进行属性挖掘
作者:
Jan Malburg
;
Tino Flenker
;
Görschwin Fey
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Computational modeling;
Hardware;
Concrete;
Clocks;
Data models;
Analytical models;
Hardware design languages;
15.
Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses
机译:
具有一级精度突触的神经形态计算系统的分类精度提高
作者:
Yandan Wang
;
Wei Wen
;
Linghao Song
;
Hai Helen Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Quantization (signal);
Memristors;
Biological neural networks;
Neurons;
Neuromorphics;
Tuning;
Training;
16.
Binary convolutional neural network on RRAM
机译:
RRAM上的二进制卷积神经网络
作者:
Tianqi Tang
;
Lixue Xia
;
Boxun Li
;
Yu Wang
;
Huazhong Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Kernel;
Neurons;
Image recognition;
Pipelines;
Pipeline processing;
Convolvers;
Biological neural networks;
17.
Non-binary cyclic ADC with correlated level shifting technique
机译:
具有相关电平转换技术的非二进制循环ADC
作者:
Hiroyuki Tsuchiya
;
Asato Uchiyama
;
Yuta Misima
;
Yuki Watanabe
;
Tatsuji Matsuura
;
Hao San
;
Masao Hotta
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Dynamic range;
Capacitors;
Prototypes;
Pipelines;
CMOS technology;
Linearity;
Estimation;
18.
A current-integration-based CMOS amperometric sensor with 1.2 μm × 2.05 μm electroless-plated microelectrode array for high-sensitivity bacteria counting
机译:
基于电流积分的CMOS安培传感器,具有1.2μm×2.05μm化学镀微电极阵列,用于高灵敏度细菌计数
作者:
Kohei Gamo
;
Kazuo Nakazato
;
Kiichi Niitsu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Microelectrodes;
Microorganisms;
Current measurement;
Amperometric sensors;
Signal to noise ratio;
Semiconductor device measurement;
Two dimensional displays;
19.
Detailed and highly parallelizable cycle-accurate network-on-chip simulation on GPGPU
机译:
在GPGPU上进行详细且高度可并行化的周期精确的片上网络仿真
作者:
Amir Charif
;
Alexandre Coelho
;
Nacer-Eddine Zergainoh
;
Michael Nicolaidis
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Graphics processing units;
Instruction sets;
Registers;
Synchronization;
Parallel processing;
Ports (Computers);
Computer architecture;
20.
Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors
机译:
节省开支:基于机器学习的资源和频率缩放,用于环境能量收集非易失性处理器
作者:
Kaisheng Ma
;
Xueqing Li
;
Srivatsa Rangachar Srinivasa
;
Yongpan Liu
;
John Sampson
;
Yuan Xie
;
Vijaykrishnan Narayanan
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Biological neural networks;
Program processors;
Microarchitecture;
Energy storage;
Energy harvesting;
Computer architecture;
Power demand;
21.
Sub-1-μs start-up time, 32-MHz relaxation oscillator for low-power intermittent VLSI systems
机译:
低功耗间歇性VLSI系统的启动时间小于1μs的32MHz弛张振荡器
作者:
H. Asano
;
T. Hirose
;
T. Miyoshi
;
K. Tsubaki
;
T. Ozaki
;
N. Kuroki
;
M. Numa
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Temperature measurement;
Manganese;
Clocks;
Oscillators;
Very large scale integration;
Frequency measurement;
Latches;
22.
Approximate logic synthesis for FPGA by wire removal and local function change
机译:
通过去除导线和更改局部功能为FPGA进行近似逻辑综合
作者:
Yi Wu
;
Chuyu Shen
;
Yi Jia
;
Weikang Qian
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Field programmable gate arrays;
Error analysis;
Table lookup;
Approximate computing;
Boolean functions;
Wires;
Power demand;
23.
Design of high-frequency piezoelectric resonator-based cascaded fractional-N PLL with sub-ppb-order channel adjusting technique
机译:
基于亚ppb阶通道调整技术的基于高频压电谐振器的级联小数N分频PLL的设计
作者:
Yosuke Ishikawa
;
Sho Ikeda
;
Hiroyuki Ito
;
Akifumi Kasamatsu
;
Takayoshi Obara
;
Naoki Noguchi
;
Koji Kamisuki
;
Yao Jiyang
;
Shinsuke Hara
;
Dong Ruibing
;
Shiro Dosho
;
Noboru Ishihara
;
Kazuya Masu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Phase locked loops;
Latches;
Tuning;
Phase noise;
Signal resolution;
Power demand;
24.
Locality-aware bank partitioning for shared DRAM MPSoCs
机译:
共享DRAM MPSoC的位置感知银行分区
作者:
Yangguo Liu
;
Junlin Lu
;
Dong Tong
;
Xu Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Interference;
Nickel;
Bandwidth;
Random access memory;
Throughput;
Parallel processing;
Memory management;
25.
Strategic circuits for neuromodulation of the visual system
机译:
视觉系统神经调节的战略电路
作者:
G. J. Suaning
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Electrodes;
Retina;
Implants;
Visualization;
Arrays;
Visual prosthesis;
Blindness;
26.
Design considerations and clinical applications of closed-loop neural disorder control SoCs
机译:
闭环神经障碍控制SoC的设计注意事项和临床应用
作者:
Chung-Yu Wu
;
Cheng-Hsiang Cheng
;
Yi-Huan Ou-Yang
;
Chiung-Ghu Chen
;
Wei-Ming Chen
;
Ming-Dou Ker
;
Chen-Yi Lee
;
Sheng-Fu Liang
;
Fu-Zen Shaw
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Satellite broadcasting;
Parkinson's disease;
Wireless communication;
Graphical user interfaces;
Wireless sensor networks;
Telemetry;
Prototypes;
27.
Towards acceleration of deep convolutional neural networks using stochastic computing
机译:
利用随机计算加速深层卷积神经网络
作者:
Ji Li
;
Ao Ren
;
Zhe Li
;
Caiwen Ding
;
Bo Yuan
;
Qinru Qiu
;
Yanzhi Wang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Neurons;
Computer architecture;
Biological neural networks;
Logic gates;
Embedded systems;
Radiation detectors;
Topology;
28.
Enabling fast preemption via Dual-Kernel support on GPUs
机译:
通过GPU上的双内核支持启用快速抢占
作者:
Li-Wei Shieh
;
Kun-Chih Chen
;
Hsueh-Chun Fu
;
Po-Han Wang
;
Chia-Lin Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Kernel;
Resource management;
Graphics processing units;
Throughput;
Context;
Switches;
Registers;
29.
CEGAR-based EF synthesis of Boolean functions with an application to circuit rectification
机译:
基于CEGAR的布尔函数EF合成及其在电路整流中的应用
作者:
Heinz Riener
;
Rüdiger Ehlers
;
Goerschwin Fey
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Boolean functions;
Databases;
Concrete;
Context;
Circuit faults;
Digital circuits;
Manganese;
30.
An extensible perceptron framework for revision RTL debug automation
机译:
用于修订版RTL调试自动化的可扩展感知器框架
作者:
John Adler
;
Ryan Berryhill
;
Andreas Veneris
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Debugging;
Training;
History;
Logistics;
Support vector machines;
Automation;
Hardware design languages;
31.
KVFTL: Optimization of storage space utilization for key-value-specific flash storage devices
机译:
KVFTL:优化特定于键值的闪存存储设备的存储空间利用率
作者:
Yen-Ting Chen
;
Ming-Chang Yang
;
Yuan-Hao Chang
;
Tseng-Yi Chen
;
Hsin-Wen Wei
;
Wei-Kuan Shih
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Performance evaluation;
Hard disks;
Drives;
Computers;
Commercialization;
Ports (Computers);
Solids;
32.
Trojan localization using symbolic algebra
机译:
使用符号代数的木马本地化
作者:
Farimah Farahmandi
;
Yuanwen Huang
;
Prabhat Mishra
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Trojan horses;
Logic gates;
Hardware;
Payloads;
Logic testing;
IP networks;
Mathematical model;
33.
Guiding template-aware routing considering redundant via insertion for directed self-assembly
机译:
指导模板感知路由,考虑通过插入实现冗余以进行定向自组装
作者:
Kun-Lin Lin
;
Shao-Yun Fang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Routing;
Wires;
Metals;
Pins;
Wiring;
Layout;
Planning;
34.
A 19-μA metabolic equivalents monitoring SoC using adaptive sampling
机译:
使用自适应采样的19μA代谢当量监控SoC
作者:
Mio Tsukahara
;
Shintaro Izumi
;
Motorumi Nakanishi
;
Hiroshi Kawaguchi
;
Masahiko Yoshimoto
;
Hiromitsu Kimura
;
Kyoji Marumoto
;
Takaaki Fuchikami
;
Yoshikazu Fujimori
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Cardiology;
35.
Emerging technologies for biomedical applications: Artificial vision systems and brain machine interface
机译:
生物医学应用的新兴技术:人工视觉系统和脑机接口
作者:
Masaharu Imai
;
Yoshinori Takeuchi
;
Jun Ohta
;
Gregg Jorgen Suaning
;
Chung-Yu Wu
;
Napoleon Torres-Martinez
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Machine vision;
Brain-computer interfaces;
Australia;
Very large scale integration;
36.
ASP-DAC 2017 keynote speech I: In memory of Edward J. McCluskey: The next wave of pioneering innovations
机译:
ASP-DAC 2017主题演讲I:纪念Edward J.McCluskey:下一波开拓性创新
作者:
Subhasish Mitra
;
Deming Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Testing;
Digital systems;
Computers;
Speech;
Fault tolerance;
Fault tolerant systems;
37.
ASP-DAC 2017 keynote speech I-1: Heterogeneous integration of X-tronics: Design automation and education
机译:
ASP-DAC 2017主题演讲I-1:X-tronics的异构集成:设计自动化和教育
作者:
K.-T. Tim Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Design automation;
Photonics;
Integrated circuits;
Education;
Ecosystems;
Manufacturing;
Three-dimensional displays;
38.
A time domain behavioral model for oscillators considering flicker noise
机译:
考虑闪烁噪声的振荡器时域行为模型
作者:
Hui Zhang
;
Bo Wang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Phase noise;
Jitter;
1f noise;
Mathematical model;
Time-domain analysis;
Integrated circuit modeling;
39.
Parasitic-aware GP-based many-objective sizing methodology for analog and RF integrated circuits
机译:
用于模拟和RF集成电路的基于寄生感知GP的多目标尺寸确定方法
作者:
Tuotian Liao
;
Lihong Zhang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Layout;
Optimization;
Integrated circuit modeling;
Estimation;
Biological cells;
Radio frequency;
Programming;
40.
Exploiting accelerated aging effect for on-line configurability and hardware tracking
机译:
利用加速老化效果进行在线可配置性和硬件跟踪
作者:
Yang You
;
Jie Gu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Transistors;
Stress;
Logic gates;
Accelerated aging;
Temperature measurement;
Negative bias temperature instability;
41.
SGXCrypter: IP protection for portable executables using Intel's SGX technology
机译:
SGXCrypter:使用英特尔SGX技术的可移植可执行文件的IP保护
作者:
Dimitrios Tychalas
;
Nektarios Georgios Tsoutsos
;
Michail Maniatakos
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Encryption;
Payloads;
Engines;
Software;
Reverse engineering;
IP networks;
42.
ASP-DAC 2017 keynote speech I-2: Electronics for the human body
机译:
ASP-DAC 2017主题演讲I-2:人体电子
作者:
John Rogers
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Chemistry;
Physics;
Electrical engineering;
Laboratories;
Speech;
Biology;
Transient analysis;
43.
Area-constrained technology mapping for in-memory computing using ReRAM devices
机译:
使用ReRAM装置进行内存计算的区域受限技术映射
作者:
Debjyoti Bhattacharjee
;
Arvind Easwaran
;
Anupam Chattopadhyay
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Delays;
Schedules;
Performance evaluation;
Clocks;
Boolean functions;
Optimal scheduling;
Resistive RAM;
44.
High-speed stochastic circuits using synchronous analog pulses
机译:
使用同步模拟脉冲的高速随机电路
作者:
M. Hassan Najafi
;
David J. Lilja
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Pulse width modulation;
Stochastic processes;
Correlation;
Logic gates;
Synchronization;
Clocks;
Image edge detection;
45.
Throughput optimization for streaming applications on CPU-FPGA heterogeneous systems
机译:
CPU-FPGA异构系统上流应用程序的吞吐量优化
作者:
Xuechao Wei
;
Yun Liang
;
Tao Wang
;
Songwu Lu
;
Jason Cong
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Throughput;
Field programmable gate arrays;
Pipelines;
Pipeline processing;
Optimization;
Streaming media;
Power demand;
46.
An FPGA-compatible PLL-based sensor against fault injection attack
机译:
一种基于FPGA的基于PLL的传感器,可防止故障注入攻击
作者:
Wei He
;
Jakub Breier
;
Shivam Bhasin
;
Noriyuki Miura
;
Makoto Nagata
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Circuit faults;
Phase locked loops;
Semiconductor lasers;
Field programmable gate arrays;
Power lasers;
Security;
Detectors;
47.
Variability mapping at runtime using the PAnDA multi-reconfigurable architecture
机译:
使用PAnDA多重可重新配置架构在运行时进行变量映射
作者:
Simon J. Bale
;
James A. Walker
;
Martin A. Trefzer
;
Andy M. Tyrrell
会议名称:
《》
|
2017年
关键词:
Transistors;
Logic gates;
Routing;
Frequency measurement;
Frequency conversion;
Logic functions;
Computed tomography;
48.
A real-time 17-scale object detection accelerator with adaptive 2000-stage classification in 65nm CMOS
机译:
在65nm CMOS中具有2000级自适应分类的实时17尺度目标检测加速器
作者:
Minkyu Kim
;
Abinash Mohanty
;
Deepak Kadetotad
;
Naveen Suda
;
Luning Wei
;
Pooja Saseendran
;
Xiaofei He
;
Yu Cao
;
Jae-sun Seo
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Object detection;
Random access memory;
Voltage measurement;
Feature extraction;
Face;
Power measurement;
High definition video;
49.
A 15 × 15 SPAD array sensor with breakdown-pixel-extraction architecture for efficient data readout
机译:
具有击穿像素提取架构的15×15 SPAD阵列传感器,可有效读取数据
作者:
Xiao Yang
;
Hongbo Zhu
;
Toru Nakura
;
Tetsuya Iizuka
;
Kunihiro Asada
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Electric breakdown;
Photonics;
Semiconductor device measurement;
CMOS process;
Measurement by laser beam;
Arrays;
Generators;
50.
Tessellating memory space for parallel access
机译:
细分的内存空间用于并行访问
作者:
Juan Escobedo
;
Mingjie Lin
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Memory management;
Arrays;
Shape;
Hardware;
Indexes;
Throughput;
Field programmable gate arrays;
51.
Containing guardbands
机译:
包含防护带
作者:
Hussam Amrouch
;
Jörg Henkel
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Transistors;
Degradation;
Aging;
Integrated circuit reliability;
Electric variables;
Logic gates;
52.
Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems
机译:
适用于异构多核系统的可识别深色硅的硬件-软件协作设计
作者:
Lei Yang
;
Weichen Liu
;
Nan Guan
;
Mengquan Li
;
Peng Chen
;
Edwin H. M. Sha
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Computer architecture;
Silicon;
Energy consumption;
Clustering algorithms;
Thermal management;
Optimization;
System-on-chip;
53.
Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system
机译:
用于多核系统中自适应能效优化的模块化强化学习
作者:
Zhe Wang
;
Zhongyuan Tian
;
Jiang Xu
;
Rafael K. V. Maeda
;
Haoran Li
;
Peng Yang
;
Zhehui Wang
;
Luan H. K. Duong
;
Zhifei Wang
;
Xuanqi Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Learning (artificial intelligence);
Mediation;
54.
Efficient mapping of CDFG onto coarse-grained reconfigurable array architectures
机译:
将CDFG高效映射到粗粒度可重配置阵列架构上
作者:
Satyajit Das
;
Kevin J. M. Martin
;
Philippe Coussy
;
Davide Rossi
;
Luca Benini
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
reconfigurable architectures;
data flow graphs;
55.
Timing window wiper: A new scheme for reducing refresh power of DRAM
机译:
定时窗口抽头:降低DRAM刷新功率的新方案
作者:
Ho Hyun Shin
;
Hyeokjun Seo
;
Byunghoon Lee
;
Jeongbin Kim
;
Eui-Young Chung
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Random access memory;
Registers;
Timing;
Radiation detectors;
Complexity theory;
Central Processing Unit;
Multicore processing;
56.
Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach
机译:
基于模式的运行时电压紧急预测:指令感知块稀疏压缩感知方法
作者:
Yu-Guang Chen
;
Michihiro Shintani
;
Takashi Sato
;
Yiyu Shi
;
Shih-Chieh Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Threshold voltage;
Switches;
Runtime;
Sensor systems;
System-on-chip;
Estimation;
57.
Algorithm-hardware co-optimization of the memristor-based framework for solving SOCP and homogeneous QCQP problems
机译:
基于忆阻器的框架的算法-硬件协同优化,用于解决SOCP和齐次QCQP问题
作者:
Ao Ren
;
Sijia Liu
;
Ruizhe Cai
;
Wujie Wen
;
Pramod K. Varshney
;
Yanzhi Wang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Memristors;
Convex functions;
Linear systems;
Complexity theory;
Algorithm design and analysis;
Mathematical model;
Scalability;
58.
ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory
机译:
ApproxPIM:开发逼真的3D堆叠DRAM,以实现内存中的节能处理
作者:
Yibin Tang
;
Ying Wang
;
Huawei Li
;
Xiaowei Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Computer architecture;
Random access memory;
Approximate computing;
Protocols;
Bandwidth;
Energy efficiency;
Three-dimensional displays;
59.
ApproxEye: Enabling approximate computation reuse for microrobotic computer vision
机译:
ApproxEye:启用微机器人计算机视觉的近似计算重用
作者:
Xin He
;
Guihai Yan
;
Faqiang Sun
;
Yinhe Han
;
Xiaowei Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Computer vision;
Approximate computing;
Adaptive optics;
Kernel;
Statistical analysis;
History;
60.
BHNN: A memory-efficient accelerator for compressing deep neural networks with blocked hashing techniques
机译:
BHNN:一种内存有效的加速器,用于使用分块哈希技术压缩深度神经网络
作者:
Jingyang Zhu
;
Zhiliang Qian
;
Chi-Ying Tsui
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Hardware;
Computer architecture;
Biological neural networks;
Training;
Acceleration;
Feedforward neural networks;
61.
Algorithm for synthesis and exploration of clock spines
机译:
时钟棘的合成与探索算法
作者:
Youngchan Kim
;
Taewhan Kim
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Clocks;
Resource management;
Optimization;
Transforms;
Capacitance;
Libraries;
Computers;
62.
Detecting hardware Trojans in unspecified functionality through solving satisfiability problems
机译:
通过解决可满足性问题来检测未指定功能的硬件木马
作者:
Nicole Fern
;
Ismail San
;
Kwang-Ting Tim Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Trojan horses;
Hardware;
Hardware design languages;
Logic gates;
System-on-chip;
Integrated circuit modeling;
Registers;
63.
Routing perturbation for enhanced security in split manufacturing
机译:
路由扰动可提高拆分制造中的安全性
作者:
Yujie Wang
;
Pu Chen
;
Jiang Hu
;
Jeyavijayan J V Rajendran
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Foundries;
Pins;
Security;
Wires;
Routing;
Integrated circuits;
64.
Computation-oriented fault-tolerance schemes for RRAM computing systems
机译:
RRAM计算系统的面向计算的容错方案
作者:
Wenqin Huangfu
;
Lixue Xia
;
Ming Cheng
;
Xiling Yin
;
Tianqi Tang
;
Boxun Li
;
Krishnendu Chakrabarty
;
Yuan Xie
;
Yu Wang
;
Huazhong Yang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Redundancy;
Fault tolerant systems;
Sensors;
Arrays;
Algorithm design and analysis;
Matrix converters;
65.
A tool for synthesizing power-efficient and custom-tailored wavelength-routed optical rings
机译:
用于合成高能效和定制波长路由光学环的工具
作者:
Marta Ortín-Obón
;
Luca Ramini
;
Víctor Viñals-Yúfera
;
Davide Bertozzi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Optical waveguides;
Transmission line matrix methods;
Algorithm design and analysis;
Optical fiber networks;
Waveguide lasers;
Ring lasers;
Optical crosstalk;
66.
Yield-driven redundant power bump assignment for power network robustness
机译:
由收益驱动的冗余电源凸点分配,可实现电网的鲁棒性
作者:
Yu-Min Lee
;
Chi-Han Lee
;
Yan-Cheng Zhu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Power supplies;
Substrates;
Robustness;
Mathematical model;
Yield estimation;
Load modeling;
67.
Scalable stochastic-computing accelerator for convolutional neural networks
机译:
用于卷积神经网络的可扩展随机计算加速器
作者:
Hyeonuk Sim
;
Dong Nguyen
;
Jongeun Lee
;
Kiyoung Choi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Convolution;
System-on-chip;
Arrays;
Biological neural networks;
Computational complexity;
68.
On resilient task allocation and scheduling with uncertain quality checkers
机译:
具有不确定质量检查器的弹性任务分配和调度
作者:
Qian Zhang
;
Ting Wang
;
Qiang Xu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Schedules;
Resource management;
Runtime;
Processor scheduling;
Approximate computing;
Scheduling;
69.
An artificial neural network approach for screening test escapes
机译:
用于筛选测试逃生的人工神经网络方法
作者:
Fan Lin
;
Kwang-Ting Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Neurons;
Training;
Sociology;
Statistics;
Cost function;
Semiconductor device measurement;
Biological neural networks;
70.
Network flow based cut redistribution and insertion for advanced 1D layout design
机译:
基于网络流的剪切重新分配和插入,用于高级一维布局设计
作者:
Ye Zhang
;
Wai-Shing Luk
;
Fan Yang
;
Changhao Yan
;
Hai Zhou
;
Dian Zhou
;
Xuan Zeng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Wires;
Layout;
Lithography;
Merging;
Printing;
Minimization;
Timing;
71.
An efficient algorithm for stencil planning and optimization in E-beam lithography
机译:
电子束光刻中模板规划和优化的有效算法
作者:
Jiabei Ge
;
Changhao Yan
;
Hai Zhou
;
Dian Zhou
;
Xuan Zeng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Geometry;
Lithography;
Layout;
Optimization;
Throughput;
Complexity theory;
Planning;
72.
Islands of heaters: A novel thermal management framework for photonic NoCs
机译:
加热器岛:用于光子NoC的新型热管理框架
作者:
Dharanidhar Dang
;
Sai Vineel Reddy Chittamuru
;
Rabi Mahapatra
;
Sudeep Pasricha
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Heating;
Photonics;
Tuning;
Thermal management;
Bandwidth;
System-on-chip;
Temperature sensors;
73.
ASP-DAC 2017 keynote speech I-3: Design of society: Beyond digital system design
机译:
ASP-DAC 2017主题演讲I-3:社会设计:超越数字系统设计
作者:
Hiroto Yasuura
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Digital systems;
Industries;
Technological innovation;
Speech;
Production;
Information and communication technology;
74.
Reservoir and mixer constrained scheduling for sample preparation on digital microfluidic biochips
机译:
数字微流控生物芯片上样品制备的储库和混合器约束调度
作者:
Varsha Agarwal
;
Ananya Singla
;
Mahammad Samiuddin
;
Sudip Roy
;
Tsung-Yi Ho
;
Indranil Sengupta
;
Bhargab B. Bhattacharya
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Reservoirs;
Switches;
Scheduling;
Optimal scheduling;
Mixers;
System-on-chip;
Loading;
75.
Non-intrusive dynamic profiler for multicore embedded systems
机译:
用于多核嵌入式系统的非介入式动态分析器
作者:
Sudarshan Sargur
;
Roman Lysecky
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Multicore processing;
Radiation detectors;
Kernel;
Runtime;
Optimization;
Hardware;
76.
Processor shield for L1 data cache software-based on-line self-testing
机译:
用于基于L1数据缓存软件的在线自检的处理器防护板
作者:
Ching-Wen Lin
;
Chung-Ho Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
program testing;
cache storage;
iterative methods;
power aware computing;
77.
Predicting Vt variation and static IR drop of ring oscillators using model-fitting techniques
机译:
使用模型拟合技术预测环形振荡器的Vt变化和静态IR下降
作者:
Tzu-Hsuan Huang
;
Wei-Tse Hung
;
Hao-Yu Yang
;
Wen-Hsiang Chang
;
Ying-Yen Chen
;
Chun-Yi Kuo
;
Jih-Nung Lee
;
Mango C.-T. Chao
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Frequency measurement;
Integrated circuit modeling;
Predictive models;
Voltage measurement;
Temperature measurement;
MOS devices;
Mathematical model;
78.
On efficient message passing in energy harvesting based distributed system
机译:
基于能量收集的分布式系统中的高效消息传递
作者:
Ye Tian
;
Qiang Xu
;
Jason Xue
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Energy harvesting;
Message passing;
Receivers;
Wireless sensor networks;
Wireless communication;
Batteries;
Probability;
79.
Fast-extract with cube hashing
机译:
使用多维数据集哈希快速提取
作者:
Bruno de O. Schmitt
;
Alan Mishchenko
;
Victor N. Kravets
;
Robert K. Brayton
;
Andre I. Reis
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Boolean functions;
Runtime;
Kernel;
Complexity theory;
Algorithm design and analysis;
Informatics;
Benchmark testing;
80.
W-band ultra-high data-rate 65nm CMOS wireless transceiver
机译:
W波段超高数据速率65nm CMOS无线收发器
作者:
Korkut Kaan Tokgoz
;
Shotaro Maki
;
Seitarou Kawai
;
Noriaki Nagashima
;
Yoichi Kawano
;
Toshihide Suzuki
;
Taisuke Iwai
;
Kenichi Okada
;
Akira Matsuzawa
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Transceivers;
Wireless communication;
Mixers;
Wideband;
Transmitters;
Modulation;
Receivers;
81.
Lithography hotspot detection by two-stage cascade classifier using histogram of oriented light propagation
机译:
基于定向光传播直方图的两级级联分类器光刻热点检测
作者:
Yoichi Tomioka
;
Tetsuaki Matsunawa
;
Chikaaki Kodama
;
Shigeki Nojima
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Layout;
Histograms;
Detectors;
Lithography;
Training;
Training data;
Fabrication;
82.
Design of a pre-scheduled data bus for advanced encryption standard encrypted system-on-chips
机译:
用于高级加密标准加密系统级芯片的预定数据总线的设计
作者:
Xiaokun Yang
;
Wujie Wen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Ciphers;
Engines;
Encryption;
Timing;
Throughput;
Protocols;
83.
A tighter recursive calculus to compute the worst case traversal time of real-time traffic over NoCs
机译:
更严格的递归演算,可计算NoC上实时流量的最坏情况遍历时间
作者:
Meng Liu
;
Matthias Becker
;
Moris Behnam
;
Thomas Nolte
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Delays;
Real-time systems;
Calculus;
Program processors;
Computer architecture;
Performance analysis;
84.
A local reconfiguration based scalable fault tolerant many-processor array
机译:
基于本地重新配置的可伸缩容错多处理器阵列
作者:
Soumya Banerjee
;
Wenjing Rao
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Fault tolerance;
Fault tolerant systems;
Maintenance engineering;
Topology;
Hardware;
Network topology;
Parallel processing;
85.
Regularity-aware routability-driven placement prototyping algorithm for hierarchical mixed-size circuits
机译:
基于规则的可路由性驱动的分层混合尺寸电路布局原型算法
作者:
Jai-Ming Lin
;
Bo-Heng Yu
;
Li-Yen Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Hafnium;
Nickel;
Manganese;
Design automation;
86.
Design of an energy-autonomous bio-sensing system using a biofuel cell and 0.19V 53μW integrated supply-sensing sensor with a supply-insensitive temperature sensor and inductive-coupling transmitter
机译:
使用生物燃料电池和集成有电源不敏感温度传感器和电感耦合变送器的0.19V53μW集成电源传感传感器的能量自主型生物传感系统的设计
作者:
Atsuki Kobayashi
;
Kei Ikeda
;
Yudai Ogawa
;
Matsuhiko Nishizawa
;
Kazuo Nakazato
;
Kiichi Niitsu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Temperature sensors;
Temperature measurement;
Biofuels;
Transmitters;
Gate leakage;
Receivers;
87.
A 13.56MHz CMOS active diode full-wave rectifier achieving ZVS with voltage-time-conversion delay-locked loop for wireless power transmission
机译:
一种13.56MHz CMOS有源二极管全波整流器,通过电压-时间转换延迟锁定环路实现ZVS,用于无线电力传输
作者:
Keita Yogosawa
;
Hideki Shinohara
;
Kousuke Miyaji
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Zero voltage switching;
Rectifiers;
Delays;
Voltage control;
Phase frequency detector;
Wireless power transmission;
Semiconductor device measurement;
88.
Reliability analysis of memories suffering MBUs for the effect of negative bias temperature instability
机译:
负偏置温度不稳定性对承受MBU的存储器的可靠性分析
作者:
Shanshan Liu
;
Liyi Xiao
;
Xuebing Cao
;
Zhigang Mao
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Negative bias temperature instability;
Thermal variables control;
Reliability;
Stress;
Ions;
Sensitivity;
Transistors;
89.
Heterogeneous chip power delivery modeling and co-synthesis for practical 3DIC realization
机译:
实用3DIC实现的异构芯片功率传输建模和协同综合
作者:
Wei-Hsun Liao
;
Chang-Tzu Lin
;
Sheng-Hsin Fang
;
Chien-Chia Huang
;
Hung-Ming Chen
;
Ding-Ming Kwai
;
Yung-Fa Chou
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Power demand;
Metals;
Algorithm design and analysis;
Mathematical model;
Resistance;
Three-dimensional displays;
Analytical models;
90.
CN-SIM: A cycle-accurate full system power delivery noise simulator
机译:
CN-SIM:精确到周期的全系统供电噪声模拟器
作者:
Kassan Unda
;
Chung-Han Chou
;
Shih-Chieh Chang
;
Cheng Zhuo
;
Yiyu Shi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Benchmark testing;
Runtime;
Engines;
Optimization;
Impedance;
Cross layer design;
Load flow;
91.
Energy-aware loops mapping on multi-vdd CGRAs without performance degradation
机译:
多vdd CGRA上的能量感知环路映射而不会降低性能
作者:
Jiangyuan Gu
;
Shouyi Yin
;
Leibo Liu
;
Shaojun Wei
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Kernel;
Delays;
Energy consumption;
Search problems;
Pipelines;
Switches;
Schedules;
92.
An efficient homotopy-based Poincaré-Lindstedt method for the periodic steady-state analysis of nonlinear autonomous oscillators
机译:
一种基于同伦的有效Poincaré-Lindstedt方法,用于非线性自治振荡器的周期性稳态分析
作者:
Zhongming Chen
;
Kim Batselier
;
Haotian Liu
;
Ngai Wong
会议名称:
《》
|
2017年
关键词:
Oscillators;
Taylor series;
Steady-state;
Autonomous systems;
Harmonic analysis;
Approximation algorithms;
Mathematical model;
93.
Exact routing for micro-electrode-dot-array digital microfluidic biochips
机译:
微电极点阵列数字微流控生物芯片的精确布线
作者:
Oliver Keszocze
;
Zipeng Li
;
Andreas Grimmer
;
Robert Wille
;
Krishnendu Chakrabarty
;
Rolf Drechsler
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Routing;
Microelectrodes;
Force;
Sensors;
Very large scale integration;
Shape;
94.
Fine-grained accelerators for sparse machine learning workloads
机译:
用于稀疏机器学习工作负载的细粒度加速器
作者:
Asit K. Mishra
;
Eriko Nurvitadhi
;
Ganesh Venkatesh
;
Jonathan Pearce
;
Debbie Marr
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Engines;
Support vector machines;
Data structures;
Sparse matrices;
Kernel;
System-on-chip;
Data models;
95.
High throughput hardware architecture for accurate semi-global matching
机译:
高吞吐量的硬件架构可实现精确的半全局匹配
作者:
Yan Li
;
Chen Yang
;
Wei Zhong
;
Zhiwei Li
;
Song Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Hardware;
Throughput;
Computer architecture;
Stereo vision;
Parallel processing;
Image edge detection;
Optimization;
96.
Workload-aware static aging monitoring of timing-critical flip-flops
机译:
时序关键型触发器的工作负载感知静态老化监控
作者:
Arunkumar Vijayan
;
Saman Kiamehr
;
Fabian Oboril
;
Krishnendu Chakrabarty
;
Mehdi B. Tahoori
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Stress;
Aging;
Logic gates;
Monitoring;
Runtime;
Timing;
Program processors;
97.
Enhancing robustness of sequential circuits using application-specific knowledge and formal methods
机译:
使用专用知识和形式化方法增强时序电路的鲁棒性
作者:
Sebastian Huhn
;
Stefan Frehse
;
Robert Wille
;
Rolf Drechsler
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Circuit faults;
Robustness;
Sequential circuits;
Transient analysis;
Integrated circuit modeling;
Hardware;
Clocks;
98.
A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications
机译:
具有电流感应方案的基于忆阻器的神经形态引擎,用于人工神经网络应用
作者:
Chenchen Liu
;
Qing Yang
;
Chi Zhang
;
Hao Jiang
;
Qing Wu
;
Hai Helen Li
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Memristors;
Sensors;
Neuromorphics;
Engines;
Biological neural networks;
Field programmable analog arrays;
Neurons;
99.
An adaptive on-line CPU-GPU governor for games on mobile devices
机译:
适用于移动设备上的游戏的自适应在线CPU-GPU调节器
作者:
Po-Kai Chuang
;
Ya-Shu Chen
;
Po-Hao Huang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Games;
Graphics processing units;
Energy consumption;
Mobile handsets;
Runtime;
Land mobile radio;
Time-frequency analysis;
100.
Majority logic circuits optimisation by node merging
机译:
通过节点合并优化多数逻辑电路
作者:
Chun-Che Chung
;
Yung-Chih Chen
;
Chun-Yao Wang
;
Chia-Cheng Wu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2017年
关键词:
Logic gates;
Circuit faults;
Merging;
Logic circuits;
Optimization;
Wires;
Inverters;
意见反馈
回到顶部
回到首页