首页> 中文期刊> 《电子产品世界》 >基于SATA2.0的高速存储系统设计实现

基于SATA2.0的高速存储系统设计实现

         

摘要

本文介绍了一种高速数据存储系统,该系统采用SATA2.0协议,存储介质选择的是Intel公司新型固态硬盘,控制器选择的是Xilinx公司的Viretx-5系列FPGA,以及所提供的软件开发平台ISE和EDK联合开发工具,最后使用ChipScope对系统进行测试。多次测试结果显示,本系统能稳定有效地完成高速数据传输,且存储速度达到800MB/s。

著录项

  • 来源
    《电子产品世界》 |2016年第7期|39-42|共4页
  • 作者

    解冬; 刘敏;

  • 作者单位

    西安电子科技大学 电子信息攻防对抗与仿真技术实验室 陕西 西安 710071;

    西安电子科技大学 电子信息攻防对抗与仿真技术实验室 陕西 西安 710071;

  • 原文格式 PDF
  • 正文语种 chi
  • 中图分类
  • 关键词

    FPGA; SATA2.0; 固态硬盘; Chip Scope; Xilinx;

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号