首页> 外文会议>International Conference on Embedded Computer Systems >Comparative architectural characterization of SPEC CPU2000 and CPU2006 benchmarks on the intel#x00AE; Core#x2122; 2 Duo processor
【24h】

Comparative architectural characterization of SPEC CPU2000 and CPU2006 benchmarks on the intel#x00AE; Core#x2122; 2 Duo processor

机译:CPU2000和CPU2006基准在英特尔®酷睿™2 DUO处理器上的比较架构特征

获取原文

摘要

SPEC CPU benchmarks are commonly used by compiler writers and architects of general purpose processors for performance evaluation. Since the release of the CPU89 suite, the SPEC CPU benchmark suites have evolved, with applications either removed or added or upgraded. This influences the design decisions for the next generation compilers and microarchitectures. In view of the above, it is critical to characterize the applications in the new suite -^sSPEC CPU2006 - to guide the decision making process. Although similar studies using the retired SPEC CPU benchmark suites have been done in the past, to the best of our knowledge, a thorough performance characterization of CPU2006 and its comparison with CPU2000 has not been done so far. In this paper, we present the above. For this, we compiled the applications in CPU2000 and CPU2006 using the Intel®2 Fortran/C++ optimizing compiler and executed them, using the reference data sets, on the state-of-the-art Intel Core™2 Duo processor. The performance information was collected by using the Intel VTune™ performance analyzer that takes advantage of the built-in hardware performance counters to obtain accurate information on program behavior and its use of processor resources. The focus of this paper is on branch and memory access behavior, the well-known reasons for program performance problems. By analyzing and comparing the L1 data and L2 cache miss rates, branch prediction accuracy, and resource stalls the performance impact in each suite is indirectly determined and described. Not surprisingly, the CPU2006 codes are larger, more complex, and have larger data sets. This leads to higher average L2 cache miss rates and a slight reduction in average IPC compared to the CPU2000 suite. Similarly, the average branch behavior is slightly worse in CPU2006 suite. However, based on processor stall counts branches are much less of a problem. The results presented here are a step towards understanding-- the SPEC CPU2006 benchmarks and will aid compiler writers in understanding the impact of currently implemented optimizations and in the design of new ones to address the new challenges presented by SPEC CPU2006. Similar opportunities exist for architecture optimization.
机译:规范CPU基准通常由编译器编写器和通用处理器的架构师使用,以进行性能评估。自CPU89套件的发布以来,SPEM CPU基准套件已进化,应用程序要么删除或添加或升级。这影响了下一代编译器和微体系结构的设计决策。鉴于上述情况,在新套件 - ^ SSPEC CPU2006中表征应用程序至关重要 - 引导决策过程。虽然过去使用了使用退休规范CPU基准套件的类似研究,但到目前为止,我们的知识众所周知,CPU2006的彻底性能表征及其与CPU2000的比较尚未完成。在本文中,我们介绍了上述。为此,我们使用英特尔®2 fortran / c ++优化编译器编译了CPU2000和CPU2006的应用程序,并在最先进的英特尔酷睿™上使用参考数据集执行它们2 DUO处理器。使用英特尔VTune™性能分析仪收集性能信息,该分析器利用内置硬件性能计数器来获得有关程序行为的准确信息及其使用处理器资源。本文的重点是分支机构和内存访问行为,是程序性能问题的公知原因。通过分析和比较L1数据和L2高速缓存率,分支预测精度和资源停止在每个套件中的性能撞击间接确定和描述。毫不奇怪,CPU2006代码更大,更复杂,并且具有更大的数据集。与CPU2000套件相比,这导致平均L2高速缓存未命中率和平均IPC的略微减少。同样,CPU2006套件中平均分支行为略差。但是,基于处理器档位计数分支的问题较少。此处提出的结果是迈向迈向迈向CPU2006基准的一步,并将帮助编译器作家在了解当前实施的优化和设计中的影响,以解决规范CPU2006所呈现的新挑战。建筑优化存在类似的机会。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号