掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
International Conference on VLSI Design
International Conference on VLSI Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
16-bit Segmented Type Current Steering DAC for Video Applications
机译:
用于视频应用的16位分段型电流转向DAC
作者:
Gaurav Raja
;
Basabi Bhaumik
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
Digital-to-Analog Conversion;
Segmentation;
Matching;
2.
An Area Efficient Mixed-Signal Test Architecture for Systems-on-a-Chip
机译:
用于芯片系统的一个高效混合信号测试架构
作者:
Hari V. Venkatanarayanan
;
Michael L. Bushnell
会议名称:
《International Conference on VLSI Design》
|
2005年
3.
Solving Thermal Problems of Hot Chips using Voronoi Diagrams
机译:
使用voronoi图解决热芯片的热问题
作者:
S. Majumder
;
B. B. Bhattacharya
会议名称:
《International Conference on VLSI Design》
|
2005年
4.
An Integrated Approach for Combining BDD and SAT Provers
机译:
结合BDD和SAT普罗瓦尔的综合方法
作者:
Rolf Drechsler
;
Gorschwin Fey
;
Sebastian Kinder
会议名称:
《International Conference on VLSI Design》
|
2005年
5.
Test Cost Reduction Using Partitioned Grid Random Access Scan
机译:
使用分区网格随机访问扫描测试成本降低
作者:
Dong Hyun Baik
;
Kewal K. Saluja
会议名称:
《International Conference on VLSI Design》
|
2005年
6.
Efficient Techniques for Noise Characterization of Sequential cells and Macros
机译:
序列细胞和宏的噪声表征有效技术
作者:
Venkat Rao Vallapenani
;
Ravi Shankar Chevuri
;
Bingxiong Xu
;
Lun Ye
;
Kanad Chakraborty
会议名称:
《International Conference on VLSI Design》
|
2005年
7.
A Methodology for Switching Activity based IO Powerpad Optimisation
机译:
基于IO PowerPad优化的切换活动的方法
作者:
Snehashis Roy
;
Jairam S.
;
Udayakumar H.
会议名称:
《International Conference on VLSI Design》
|
2005年
8.
Apriori Formal Coverage Analysis for Protocol Properties
机译:
APRIORI协议属性的正式覆盖分析
作者:
Praveen Tiwari
;
Saptarshi Biswas
;
Raj S. Mitra
会议名称:
《International Conference on VLSI Design》
|
2005年
9.
Modeling and Reduction of Gate Leakage during Behavioral Synthesis of NanoCMOS Circuits
机译:
纳米核科电路行为合成期间闸门泄漏的建模与减少
作者:
Saraju P. Mohanty
;
Elias Kougianos
会议名称:
《International Conference on VLSI Design》
|
2005年
10.
Clockless Pipelining for Coarse Grain Datapaths
机译:
无粗晶料的管线管线
作者:
Abdelhalim Alsharqawi
;
Abdel Ejnioui
会议名称:
《International Conference on VLSI Design》
|
2005年
11.
Performance and Energy Benefits of Instruction Set Extensions in an FPGA Soft Core
机译:
FPGA软核指令集扩展的性能和能量效益
作者:
Partha Biswas
;
Sudarshan Banerjee
;
Nikil Dutt
;
Paolo Ienne
;
Laura Pozzi
会议名称:
《International Conference on VLSI Design》
|
2005年
12.
MoM - A Process Variation aware Statistical Capacitance Extractor
机译:
MOM - 一个过程变异意识统计电容提取器
作者:
Rohit Ananthakrishna
;
Shabbir Batterywala
会议名称:
《International Conference on VLSI Design》
|
2005年
13.
Instruction-Set-Extension Exploration using Decomposable Heuristic Search
机译:
使用可分解启发式搜索的指令集扩展探索
作者:
Samik Das
;
P. P. Chakrabarti
;
Pallab Dasgupta
会议名称:
《International Conference on VLSI Design》
|
2005年
14.
Handling Constraints in Multi-Objective GA for Embedded System Design
机译:
用于嵌入式系统设计的多目标GA中的处理约束
作者:
Biman Chakraborty
;
Ting Chen
;
Tulika Mitra
;
Abhik Roychoudhury
会议名称:
《International Conference on VLSI Design》
|
2005年
15.
An Efficient Scan Tree Design for Compact Test Pattern Set
机译:
紧凑型测试模式集的有效扫描树设计
作者:
Shibaji Banerjee
;
Dipanwita Roy Chowdhury
;
Bhargab B. Bhattacharya
会议名称:
《International Conference on VLSI Design》
|
2005年
16.
Frame Based Fair Multiprocessor Scheduler: A Fast Fair Algorithm for Real-time Embedded Systems
机译:
基于帧的公平多处理器调度器:一种用于实时嵌入式系统的快速公平算法
作者:
Arnab Sarkar
;
P. P. Chakrabarti
;
Rajeev Kumar
会议名称:
《International Conference on VLSI Design》
|
2005年
17.
Generating Scalable Polynomial Models: Key to low power high performance designs
机译:
生成可扩展多项式模型:键低功率高性能设计
作者:
Girishankar G.
;
Shitanshu Tiwari
会议名称:
《International Conference on VLSI Design》
|
2005年
18.
Statistical Estimation of Correlated Leakage Power Variation and Its Application to Leakage-Aware Design
机译:
相关漏电功率变化的统计估算及其在泄漏感知设计中的应用
作者:
Maryam Ashouei
;
Abhijit Chatterjee
;
Adit D. Singh
;
Vivek De
;
T. M. Mak
会议名称:
《International Conference on VLSI Design》
|
2005年
19.
Design of Multi-Bit SET Adder and its Fault Simulation
机译:
多比特集加法器设计及其故障模拟
作者:
Deepanjan Datta
;
Samiran Ganguly
会议名称:
《International Conference on VLSI Design》
|
2005年
20.
A Novel Architecture Using the Decorrelating Transform for Low Power Adaptive Filters
机译:
一种新的架构,使用去相关变换进行低功耗自适应滤波器
作者:
Mark P. Tennant
;
Ahmet T. Erdogan
;
Tughrul Arslan
;
John Thompson
会议名称:
《International Conference on VLSI Design》
|
2005年
21.
Gate Delay Modeling With Multiple Input Switching for Static (Statistical) Timing Analysis
机译:
具有多个输入切换的静态延迟建模,用于静态(统计)定时分析
作者:
Jayashree Sridharan
;
Tom Chen
会议名称:
《International Conference on VLSI Design》
|
2005年
22.
Wide Limited Switch Dynamic Logic Circuit Implementations
机译:
宽带有限的开关动态逻辑电路实现
作者:
Jayakumaran Sivagnaname
;
Hung C. Ngo
;
Kevin J. Nowka
;
Robert K. Montoye
;
Richard B. Brown
会议名称:
《International Conference on VLSI Design》
|
2005年
23.
SmartExtract: accurate capacitance extraction for SOC designs
机译:
SmartExtract:SoC设计的精确电容提取
作者:
Narasimha U.
;
Hill A.
;
Nagaraj N.S.
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
RC circuits;
capacitance measurement;
integrated circuit design;
system-on-chip;
65 nm;
90 nm;
DSP designs;
SOC designs;
SmartExtract;
capacitance extraction;
system-on-chip;
24.
Novel Architecture of EBC for JPEG2000
机译:
JPEG2000的EBC的新颖体系结构
作者:
Anand Gautam
;
A. Geeta Madhuri
;
Priya Khandelwal
;
K. Pratyush Aditya
;
Meghana Desai
;
Krishna Padma N.
;
Malvika Dutt
;
Reeti Bhatia
会议名称:
《International Conference on VLSI Design》
|
2005年
25.
CIRCUIT COMPATIBLE MACROMODELING OF HIGH-SPEED VLSI MODULES CHARACTERIZED BY SCATTERING PARAMETERS
机译:
电路兼容高速VLSI模块的Macromodeling,其特征在于散射参数
作者:
D. Saraswat
;
R. Achar
;
M. Nakhla
会议名称:
《International Conference on VLSI Design》
|
2005年
26.
An On-Chip Voltage Regulator with Improved Load Regulation and Light Load Power Efficiency
机译:
一种片上电压调节器,具有改进的负载调节和轻负载功率效率
作者:
Raghavendra R. G.
;
Pradip Mandal
会议名称:
《International Conference on VLSI Design》
|
2005年
27.
On the Size and Generation of Minimal N-Detection Tests
机译:
关于最小N检测测试的大小和产生
作者:
Kalyana R. Kantipudi
;
Vishwani D. Agrawal
会议名称:
《International Conference on VLSI Design》
|
2005年
28.
Carbon Nanotube Electronics
机译:
碳纳米管电子产品
作者:
Ali Javey
;
Hongjie Dai
会议名称:
《International Conference on VLSI Design》
|
2005年
29.
Test Pattern Generation for Power Supply Droop Faults
机译:
电源下垂故障的测试模式生成
作者:
Debasis Mitra
;
Subhasis Bhattacharjee
;
Susmita Sur-Kolay
;
Bhargab B. Bhattacharya
;
Sujit T. Zachariah
;
Sandip Kundu
会议名称:
《International Conference on VLSI Design》
|
2005年
30.
Improved Data Compression for Serial Interconnected Network on Chip through Unused Significant Bit Removal
机译:
通过未使用的显着位拆卸改进了串口互连网络的数据压缩
作者:
Simon Ogg
;
Bashir Al-Hashimi
会议名称:
《International Conference on VLSI Design》
|
2005年
31.
Active Crosstalk Cancel for High-Density Inductive Inter-Chip Wireless Communication
机译:
活跃的串扰取消高密度电感芯片间无线通信
作者:
Amit Kumar
;
Noriyuki Miura
;
Muhammad Muqsith
;
Tadahiro Kuroda
会议名称:
《International Conference on VLSI Design》
|
2005年
32.
The cut delay fault model for guiding the generation of n-detection test sets for transition faults
机译:
用于引导转换故障的N检测试验集生成的截止延迟故障模型
作者:
Pomeranz I.
;
Reddy S.M.
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
fault simulation;
integrated circuit modelling;
integrated circuit testing;
cut delay fault model;
n-detection test sets;
transition faults;
33.
Semi-Custom Design of Adiabatic Adder Circuits
机译:
半定制设计绝热加法器电路
作者:
V. S. Kanchana Bhaaskaran
;
S. Salivahanan
;
D. S. Emmanuel
会议名称:
《International Conference on VLSI Design》
|
2005年
34.
Extrinsic Analog Synthesis using Piecewise Linear Current-Mode Circuits
机译:
外部模拟合成使用分段线性电流模式电路
作者:
M. S. Bhat
;
S. Rekha
;
H. S. Jamadagni
会议名称:
《International Conference on VLSI Design》
|
2005年
35.
A Comprehensive Solution for True Hierarchical Timing and Crosstalk Delay Signoff
机译:
真正分层时序和串扰延迟源的全面解决方案
作者:
Rajagopal K. A.
;
Sivakumar R.
;
Arvind N. V.
;
Sreeram C.
;
Vish Visvanathan
;
Shailendra Dhuri
;
Roopesh Chander
;
Patrick Fortner
;
Subra Sripada
;
Qiuyang Wu
会议名称:
《International Conference on VLSI Design》
|
2005年
36.
CAD Tools for a Globally Asynchronous Locally Synchronous FPGA Architecture
机译:
用于全局异步地区同步FPGA架构的CAD工具
作者:
Xin Jia
;
Ranga Vemuri
会议名称:
《International Conference on VLSI Design》
|
2005年
37.
ADC Precision Requirement for Digital Ultra-Wideband Receivers with Sublinear Front-ends: a Power and Performance Perspective
机译:
DID Digital Ultra-Bread接收器的ADC精度要求,具有载位线前端:电源和性能透视
作者:
Ivan Siu-Chuang Lu
;
Neil Weste
;
Sri Parameswaran
会议名称:
《International Conference on VLSI Design》
|
2005年
38.
Custom Reconfigurable Architecture for Autonomous Fault-Recovery of MEMS Vibratory Sensor Electronics
机译:
MEMS振动传感器电子器件的自主断层恢复自定义可重构架构
作者:
Evangelos F. Stefatos
;
Tughrul Arslan
;
Didier Keymeulen
;
Ian Ferguson
会议名称:
《International Conference on VLSI Design》
|
2005年
39.
An Automatic Code Generation Tool for Partitioned software in Distributed Systems
机译:
分布式系统中的分区软件的自动代码生成工具
作者:
Viswanath Sairaman
;
Nagarajan Ranganathan
;
Neeta S. Singh
会议名称:
《International Conference on VLSI Design》
|
2005年
40.
A New Approach to Synthesize Multiple-Output Functions Using Reversible Programmable Logic Array
机译:
使用可逆可编程逻辑阵列合成多输出函数的新方法
作者:
Ahsan Raja Chowdhury
;
Rumana Nazmul
;
Hafiz Md. Hasan Babu
会议名称:
《International Conference on VLSI Design》
|
2005年
41.
Reinforcement Temporal Difference Learning Scheme for Dynamic Energy Management in Embedded Systems
机译:
嵌入式系统中动态能量管理的加固时间差学习方案
作者:
Lakshmi Prabha Viswanathan
;
Elwin Chandra Monie
会议名称:
《International Conference on VLSI Design》
|
2005年
42.
A methodology for switching activity based IO powerpad optimisation
机译:
基于IO PowerPad优化的切换活动的方法
作者:
Roy S.
;
Jairam S.
;
Udayakumar H.
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
integrated circuit design;
system-on-chip;
IO powerpad optimisation;
backend planning;
ground bounce;
ground pad locations;
high speed interfaces;
optimized IO power;
switching activity patterns;
switching patterns;
system-on-chip;
43.
All-printed RFID Tags: Materials, Devices, and Circuit Implications
机译:
全印刷RFID标签:材料,设备和电路含义
作者:
Vivek Subramanian
;
Paul C. Chang
;
Daniel Huang
;
Josephine B. Lee
;
Steven E. Molesa
;
David R. Redinger
;
Steven K. Volkman
会议名称:
《International Conference on VLSI Design》
|
2005年
44.
Gate-induced Barrier Field Effect Transistor (GBFET) - A New Thin Film Transistor for Active Matrix Liquid Crystal Display Systems
机译:
栅极诱导的屏障场效应晶体管(GBFET) - 用于有源矩阵液晶显示系统的新型薄膜晶体管
作者:
M. Jagadesh Kumar
;
Ali A. Orouji
会议名称:
《International Conference on VLSI Design》
|
2005年
45.
Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults
机译:
通过针对难以测试的故障提高自动顺序试验生成的性能
作者:
Loganathan Lingappan
;
Niraj K. Jha
会议名称:
《International Conference on VLSI Design》
|
2005年
46.
A Rail-to-Rail I/O Operational Amplifier with 0.5 g{sub}m Fluctuation Using Double P-channel Differential Input Pairs
机译:
一种轨到轨I / O运算放大器,使用双P沟道差分输入对波动0.5%G {Sub} M
作者:
Zhiyuan Li
;
Mingyan Yu
;
Jianguo Ma
会议名称:
《International Conference on VLSI Design》
|
2005年
47.
Accurate Substrate Noise Analysis Based on Library Module Characterization
机译:
基于库模块表征的精确基板噪声分析
作者:
Subodh M. Reddy
;
Rajeev Murgai
会议名称:
《International Conference on VLSI Design》
|
2005年
48.
The Cut Delay Fault Model for Guiding the Generation of n-Detection Test Sets for Transition Faults
机译:
用于引导转换故障的N检测试验集生成的截止延迟故障模型
作者:
Irith Pomeranz
;
Sudhakar M. Reddy
会议名称:
《International Conference on VLSI Design》
|
2005年
49.
Semi-custom design of adiabatic adder circuits
机译:
半定制设计绝热加法器电路
作者:
Kanchana Bhaaskaran V.S.
;
Salivahanan S.
;
Emmanuel D.S.
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
CMOS logic circuits;
adders;
invertors;
logic design;
logic gates;
low-power electronics;
2 to 200 MHz;
T-Spice;
Tanner tools;
adiabatic adder circuits;
carry lookahead adders;
inverter circuits;
logic gates;
quasi-adiabatic families;
sinusoidal power clock signals;
st;
50.
Symbolic Time-Domain Behavioral and Performance Modeling of Linear Analog Circuits using an Efficient Symbolic Newton-Iteration Algorithm for Pole Extraction
机译:
利用高效符号牛顿迭代算法对线性模拟电路的象征性时域行为和性能建模
作者:
Ritochit Chakraborty
;
Mukesh Ranjan
;
Ranga Vemuri
会议名称:
《International Conference on VLSI Design》
|
2005年
51.
An On-Chip Diagnosis Methodology for Embedded Cores with Replaceable Modules
机译:
具有可更换模块的嵌入式核心片上芯片诊断方法
作者:
Ramesh C. Tekumalla
会议名称:
《International Conference on VLSI Design》
|
2005年
52.
Partial Product Reduction based on Look-Up Tables
机译:
基于查找表的部分产品减少
作者:
H. Mora Mora
;
J. Mora Pascual
;
J. L. Sanchez Romero
;
F. Pujol Lopez
会议名称:
《International Conference on VLSI Design》
|
2005年
53.
Low power multilevel interconnect networks using wave-pipelined multiplexed (WPM) routing
机译:
低功率多级互连网络使用波浪流水线多路复用(WPM)路由
作者:
Joshi A.
;
Deodhar V.
;
Davis J.
会议名称:
《International Conference on VLSI Design》
|
2005年
关键词:
integrated circuit design;
integrated circuit interconnections;
low-power electronics;
network routing;
40 Mohm;
coupling capacitance;
intramacrocell communication;
low-overhead wire sharing;
multilevel interconnect networks;
routing tracks;
wave-pipelined multipl;
54.
Exploring Logic Block Granularity in Leakage Tolerant FPGA
机译:
探索泄漏耐腐蚀FPGA的逻辑块粒度
作者:
Rajan Konar
;
Rajarshee Bharadwaj
;
Dinesh Bhatia
;
Poras T. Balsara
会议名称:
《International Conference on VLSI Design》
|
2005年
55.
Optimization of Global Interconnects in High Performance VLSI Circuits
机译:
高性能VLSI电路的全局互连优化
作者:
Min Tang
;
Jun-Fa Mao
会议名称:
《International Conference on VLSI Design》
|
2005年
56.
Efficient Design and Analysis of Robust Power Distribution Meshes
机译:
高效的设计与鲁棒配电网格的分析
作者:
Puneet Gupta
;
Andrew B. Kahng
会议名称:
《International Conference on VLSI Design》
|
2005年
57.
Fast DC Analysis and its application to Combinatorial Optimization Problems
机译:
快速直流分析及其在组合优化问题中的应用
作者:
Gaurav Trivedi
;
Madhav P. Desai
;
H. Narayanan
会议名称:
《International Conference on VLSI Design》
|
2005年
58.
Dynamic Template Generation for Resource Sharing in Control and Data Flow Graphs
机译:
控制和数据流图中资源共享的动态模板生成
作者:
David C. Zaretsky
;
Gaurav Mittal
;
Robert P. Dick
;
Prith Banerjee
会议名称:
《International Conference on VLSI Design》
|
2005年
59.
Sequential Spectral ATPG Using the Wavelet Transform and Compaction
机译:
使用小波变换和压实顺序频谱ATPG
作者:
Suresh Kumar Devanathan
;
Michael Lee Bushnell
会议名称:
《International Conference on VLSI Design》
|
2005年
60.
Improving the Performance of CAD Optimization Algorithms Using On-line Meta-level Control
机译:
使用在线元级控制提高CAD优化算法的性能
作者:
Sandip Aine
;
P. P. Chakrabarti
;
Rajeev Kumar
会议名称:
《International Conference on VLSI Design》
|
2005年
61.
Dynamic Tree Reconstruction with Application to Timing-Constrained Congestion-Driven Global Routing
机译:
动态树重建与应用于定时约束拥塞驱动的全局路由
作者:
Jin-Tai Yan
;
Chia-Fang Lee
;
Yen-Hsiang Chen
会议名称:
《International Conference on VLSI Design》
|
2005年
62.
Hybrid CMOS/Molecular Electronic Circuits
机译:
混合CMOS /分子电子电路
作者:
Mircea R. Stan
;
Garrett S. Rose
;
Matthew M. Zielger
会议名称:
《International Conference on VLSI Design》
|
2005年
63.
High Speed Robust Current Sense Amplifier for Nanoscale Memories:- A Winner Take All approach
机译:
纳米级记忆的高速强大的电流检测放大器: - 赢家采取所有方法
作者:
Srikanth Sundaram
;
Praveen Elakkumanan
;
Ramalingam Sridhar
会议名称:
《International Conference on VLSI Design》
|
2005年
64.
A new device level digital simulator for simulation and functional verification of large semiconductor memories
机译:
用于大半导体存储器的仿真和功能验证的新型设备级数字模拟器
作者:
Dastidar T.R.
;
Ray P.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
MOSFET;
circuit simulation;
digital circuits;
formal verification;
semiconductor storage;
MOS transistors;
Natsim simulator;
device level digital circuits;
device level digital simulator;
final logic value;
functional verification;
memory circuits;
semiconductor mem;
65.
Beyond RTL: advanced digital system design
机译:
超越RTL:高级数字系统设计
作者:
Tasker S.
;
Nikhil R.S.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
formal specification;
hardware-software codesign;
integrated circuit design;
microprocessor chips;
multiprocessor interconnection networks;
advanced digital system design;
complex IP blocks;
concurrency techniques;
digital chip design;
hardware software co-design;
66.
Handling constraints in multi-objective GA for embedded system design
机译:
用于嵌入式系统设计的多目标GA中的处理约束
作者:
Chakraborty B.
;
Chen T.
;
Mitra T.
;
Roychoudhury A.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
Pareto optimisation;
constraint handling;
embedded systems;
genetic algorithms;
instruction sets;
logic design;
tree searching;
Pareto-optimal points;
application-specific instruction-set extensions;
branch and bound technique;
constraints handling;
design space ex;
67.
A wideband frequency-shift keying demodulator for wireless neural stimulation microsystems
机译:
用于无线神经刺激微系统的宽带频移键控解调器
作者:
Mian Dong
;
Chun Zhang
;
Songping Mai
;
Zhihua Wang
;
Dongmei Li
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
CMOS integrated circuits;
biomedical communication;
data communication;
demodulators;
ear;
eye;
frequency shift keying;
neuromuscular stimulation;
prosthetics;
0.25 mW;
0.6 micron;
10 MHz;
2.5 Mbit/s;
5 MHz;
5 V;
cochlear implant system;
digital data transmission;
freque;
68.
Frame based fair multiprocessor scheduler: a fast fair algorithm for real-time embedded systems
机译:
基于帧的公平多处理器调度器:一种用于实时嵌入式系统的快速公平算法
作者:
Sarkar A.
;
Chakrabarti P.P.
;
Kumar R.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
computational complexity;
embedded systems;
multiprocessing systems;
processor scheduling;
dynamic tasks;
fast fair algorithm;
frame based fair multiprocessor scheduler;
real-time embedded systems;
scheduling overhead;
symmetric multiprocessor environment;
virtual;
69.
On the size and generation of minimal N-detection tests
机译:
关于最小N检测测试的大小和产生
作者:
Kantipudi K.R.
;
Agrawal V.D.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
fault diagnosis;
integer programming;
linear programming;
logic testing;
integer linear programming;
minimal N-detection tests;
test vectors;
70.
Development of a wireless integrated toxic and explosive MEMS based gas sensor
机译:
开发无线集成毒性和爆炸性MEMS基于基于气体传感器的气体传感器
作者:
Bhattacharyya T.K.
;
Sen S.
;
Mandal D.
;
Lahiri S.K.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
frequency modulation;
gas sensors;
intelligent sensors;
microsensors;
2.4854 to 2.404 GHz;
2.5823 to 2.5542 GHz;
CH/sub 4/ gas sensor;
CO gas sensor;
explosive MEMS based gas sensor;
explosive gases;
frequency modulated signal;
smart sensor systems;
toxic gases;
wire;
71.
Interconnect process variations: theory and practice
机译:
互连过程变化:理论与实践
作者:
Nagaraj N.S.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
chemical mechanical polishing;
crosstalk;
delays;
etching;
integrated circuit interconnections;
integrated circuit reliability;
integrated circuit testing;
silicon;
RC delay;
Si;
chemical mechanical polishing;
circuit delay;
crosstalk noise;
etch induced variations;
72.
Integrated design flows - a battered EDA slogan or true challenge for tool development and algorithmic research
机译:
综合设计流动 - 用于工具开发和算法研究的受攻击的EDA口号或真正的挑战
作者:
Kuehlmann A.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
circuit complexity;
circuit optimisation;
electronic design automation;
formal specification;
integrated circuit design;
EDA tools;
design optimization flow;
functional verification;
integrated design flow;
specification methods;
system complexity;
73.
Reducing design verification cycle time through testbench redundancy
机译:
通过测试台冗余减少设计验证周期时间
作者:
Kokrady A.
;
Mehrotra R.
;
Powell T.J.
;
Ramakrishnan S.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
built-in self test;
integrated circuit design;
integrated memory circuits;
logic design;
system-on-chip;
built-in self test;
design cycle time reduction;
design verification time;
system-on-chip designs;
testbench redundancy;
74.
IC/FPGA package-PCB design collaboration
机译:
IC / FPGA包 - PCB设计协作
作者:
Potts H.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
field programmable gate arrays;
printed circuit design;
system-in-package;
FPGA package;
PCB design technology;
integrated circuit package;
system in package technology;
75.
A stimulus-free probabilistic model for single-event-upset sensitivity
机译:
一种无刺激的单一事件不安敏感性概率模型
作者:
Thara Rejimon
;
Sanjukta Bhanja
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
belief networks;
integrated circuit design;
logic circuits;
logic design;
radiation hardening (electronics);
statistical distributions;
Bayesian network;
PDF;
SEU;
benchmark circuits;
directional graph;
exponential complexity;
logic circuits;
logic level design abstr;
76.
Parasitic aware routing methodology based on higher order RLCK moment metrics
机译:
基于高阶RLCK时刻度量的寄生感知路由方法
作者:
Amitava Bhaduri
;
Ranga Vemuri
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
integrated circuit design;
integrated circuit interconnections;
wires;
capacitive parasitics;
higher order RLCK moment metrics;
inductive parasitics;
monotone signal response;
parasitic aware routing method;
routing process;
wire capacitive interaction;
wire induc;
77.
16-bit segmented type current steering DAC for video applications
机译:
用于视频应用的16位分段型电流转向DAC
作者:
Raja G.
;
Bhaumik B.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
analogue-digital conversion;
image segmentation;
integrated circuit design;
0.35 micron;
16 bit;
165 mW;
50 MHz;
TSMC technology;
binary bits;
current steering DAC;
differential nonlinearity;
digital-to-analog conversion;
glitch energy;
integral nonlinearity;
thermome;
78.
Carbon nanotube electronics
机译:
碳纳米管电子产品
作者:
Javey A.
;
Hongjie Dai
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
carbon nanotubes;
current density;
electron transport theory;
elemental semiconductors;
silicon;
Si;
carbon nanotubes;
current density;
electron transport properties;
high-K gate dielectrics;
79.
Instruction-set-extension exploration using decomposable heuristic search
机译:
使用可分解启发式搜索的指令集扩展探索
作者:
Das S.
;
Chakrabarti P.P.
;
Dasgupta P.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
computer architecture;
divide and conquer methods;
instruction sets;
integer programming;
linear programming;
logic design;
decomposable heuristic search;
divide-and-conquer technique;
gain function;
instruction-set-extension exploration;
integer linear programmin;
80.
Symbolic time-domain behavioral and performance modeling of linear analog circuits using an efficient symbolic Newton-iteration algorithm for pole extraction
机译:
利用高效符号牛顿迭代算法对线性模拟电路的象征性时域行为和性能建模
作者:
Chakraborty R.
;
Ranjan M.
;
Vemuri R.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
Laplace transforms;
Newton method;
analogue circuits;
integrated circuit modelling;
linear network analysis;
poles and zeros;
time-domain analysis;
AC behavior;
Newton-iteration algorithm;
filters;
graph theory;
inverse Laplace transform;
linear analog circuits;
oper;
81.
Double-gate SOI devices for low-power and high-performance applications
机译:
用于低功耗和高性能应用的双门SOI器件
作者:
Roy K.
;
Mahmoodi H.
;
Mukhopadhyay S.
;
Ananthan H.
;
Bansal A.
;
Cakici T.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
MOSFET;
low-power electronics;
nanoelectronics;
silicon-on-insulator;
asymmetric gates;
bulk CMOS;
circuit design;
double-gate SOI devices;
double-gate transistors;
independent gate control;
logic circuits;
memory circuits;
nanoscale circuits;
parallel transistors;
pr;
82.
Efficient and accurate EMC analysis of high-frequency VLSI subnetworks
机译:
高频VLSI子网的高效准确EMC分析
作者:
Shinh G.
;
Nakhla N.
;
Achar R.
;
Nakhla M.
;
Erdin I.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
SPICE;
VLSI;
differential equations;
electromagnetic compatibility;
electromagnetic fields;
electromagnetic interference;
integrated circuit modelling;
multiconductor transmission lines;
time-domain analysis;
transient analysis;
SPICE macromodel;
analog circuit sim;
83.
Evaluation of non-quasi-static effects during SEU in deep-submicron MOS devices and circuits
机译:
深度亚微米MOS装置和电路中SEU期间非准静态效应的评估
作者:
Palkesh Jain
;
Kumar D.V.
;
Vasi J.M.
;
Patil M.B.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
MOS integrated circuits;
MOSFET;
approximation theory;
circuit simulation;
radiation hardening (electronics);
table lookup;
2D device;
BSIM4 simulation;
DSM circuits;
DSM transistors;
LUT simulation;
NQS effects;
SEU;
deep-submicron MOS circuits;
deep-submicron MOS de;
84.
A single supply level shifter for multi-voltage systems
机译:
用于多电压系统的单电源电平移位器
作者:
Khan Q.A.
;
Wadhwa S.K.
;
Misri K.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
CMOS logic circuits;
SPICE;
leakage currents;
logic design;
1.2 to 2.5 V;
500 MHz;
90 nm;
CMOS technology;
SPICE;
layout congestion;
leakage current;
multivoltage systems;
single power supply;
single supply level shifter;
supply routing;
voltage level signal;
85.
On methods to improve location based logic diagnosis
机译:
改进基于位置逻辑诊断的方法
作者:
Wei Zou
;
Wu-Tung Cheng
;
Reddy S.M.
;
Huaxing Tang
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
failure analysis;
fault location;
integrated circuit testing;
logic testing;
silicon;
Si;
fault diagnosis;
location based logic diagnosis;
logic failure locations;
silicon defects;
86.
Threshold trimming based design of a CMOS programmable operational amplifier
机译:
基于CMOS可编程运算放大器的阈值修剪设计
作者:
Suri R.
;
Markan C.M.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
CMOS analogue integrated circuits;
MOSFET;
integrated circuit design;
operational amplifiers;
programmable circuits;
0.35 micron;
CMOS programmable operational amplifier;
analog integrated circuit;
floating gate MOSFET;
threshold trimming based design;
threshold v;
87.
Optimization of global interconnects in high performance VLSI circuits
机译:
高性能VLSI电路的全局互连优化
作者:
Min Tang
;
Jun-Fa Mao
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
VLSI;
circuit optimisation;
integrated circuit interconnections;
silicon;
Si;
VLSI circuits;
chip wireability;
delay-power-area product;
global interconnect optimization;
high performance integrated circuits;
interconnect spacing;
interconnect width;
minimum delay p;
88.
Improving the performance of automatic sequential test generation by targeting hard-to-test faults
机译:
通过针对难以测试的故障提高自动顺序试验生成的性能
作者:
Lingappan L.
;
Jha N.K.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
Boolean functions;
automatic test pattern generation;
computability;
fault simulation;
sequential circuits;
Boolean satisfiability;
automatic sequential test generation;
automatic test pattern generation;
gate-level sequential test generator;
hard-to-test faults;
89.
Sequential equivalence checking
机译:
顺序等价检查
作者:
Mathur A.
;
Fujita M.
;
Balakrishnan M.
;
Mitra R.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
circuit CAD;
formal verification;
high level synthesis;
integrated circuit design;
functional equivalence;
functional reference models;
microarchitectural refinement;
one-to-one flop mapping;
sequential equivalence checking;
software development;
system-level mode;
90.
We want it all, and we want it now! SOC design
机译:
我们想要它,我们现在想要它! SoC Design
作者:
Miller R.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
firmware;
formal specification;
integrated circuit design;
mixed analogue-digital integrated circuits;
system-on-chip;
SoC design;
firmware platform;
integrated mixed signal semiconductors;
91.
Design challenges for high performance nanotechnology
机译:
高性能纳米技术设计挑战
作者:
Debnath G.
;
Thadikaran P.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
VLSI;
design for manufacture;
elemental semiconductors;
high level synthesis;
integrated circuit layout;
integrated circuit modelling;
microprocessor chips;
nanoelectronics;
silicon;
VLSI design;
design for manufacturability;
high level architectural modeling;
layou;
92.
Phase change memory faults
机译:
相位变更存储器故障
作者:
Mohammad M.G.
;
Terkawi L.
;
Albasman M.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
CMOS integrated circuits;
fault diagnosis;
integrated circuit testing;
phase change materials;
random-access storage;
CMOS process technology;
March-PC algorithm;
PCM;
chalcogenide;
core memory cell;
fault detection;
fault diagnosis;
fault models;
flash memory;
non-vo;
93.
An automatic code generation tool for partitioned software in distributed systems
机译:
分布式系统中的分区软件的自动代码生成工具
作者:
Sairaman V.
;
Ranganathan N.
;
Singh N.S.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
distributed processing;
meta data;
parallel machines;
program compilers;
reverse engineering;
C language;
automatic code generation tool;
code clusters;
code partitions;
dependency trees;
distributed systems;
functional verification;
meta-data table;
parallel virtual;
94.
Design of heterogeneous embedded systems using DFCharts model of computation
机译:
使用DFcharts计算模型设计异构嵌入式系统
作者:
Radojevic I.
;
Salcic Z.
;
Roop P.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
data flow graphs;
embedded systems;
finite state machines;
Argos semantics;
Boolean automata;
DFCharts model;
control-dominated systems;
data-dominated systems;
dataflow process networks;
heterogeneous embedded system;
hierarchical concurrent finite state machines;
95.
Low-cost production testing of wireless transmitters
机译:
无线发射器的低成本生产测试
作者:
Halder A.
;
Chatterjee A.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
production testing;
radio transmitters;
telecommunication equipment testing;
adjacent-channel-power ratio;
alternate testing framework;
error-vector-magnitude;
multiple frequency-domain transmitter specifications;
multiple modulation-domain transmitter specific;
96.
A wide-band lumped element compact CAD model of Si-based planar spiral inductor for RFIC design
机译:
用于RFIC设计的基于Si的平面螺旋电感的宽带集总元件Compact Cad模型
作者:
Mandal S.K.
;
De A.
;
Patra A.
;
Sural S.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
BiCMOS integrated circuits;
circuit CAD;
eddy currents;
genetic algorithms;
inductors;
integrated circuit design;
particle swarm optimisation;
radiofrequency integrated circuits;
semiconductor device models;
20 GHz;
BiCMOS7 process;
RFIC design;
eddy current effect;
97.
Hybrid CMOS/Molecular Electronic Circuits
机译:
混合CMOS /分子电子电路
作者:
Stan M.R.
;
Rose G.S.
;
Zielger M.M.
会议名称:
《International Conference on VLSI Design》
|
2006年
98.
Accurate substrate noise analysis based on library module characterization
机译:
基于库模块表征的精确基板噪声分析
作者:
Reddy S.M.
;
Murgai R.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
SPICE;
integrated circuit modelling;
integrated circuit noise;
mixed analogue-digital integrated circuits;
BSIM3-based model;
SPICE model;
analog circuit;
current modeling technique;
digital logic;
gate-level benchmarks;
library module characterization;
mixed-signa;
99.
Checking nested properties using bounded model checking and sequential ATPG
机译:
使用有限模型检查和顺序ATPG检查嵌套属性
作者:
Qiang Qiang
;
Saab D.G.
;
Abraham J.A.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
VLSI;
automatic test pattern generation;
formal verification;
integrated circuit testing;
sequential circuits;
Boolean satisfiability;
SAT-based techniques;
automatic test pattern generation;
bounded model checking;
gate-level sequential ATPG tools;
nested VLSI ci;
100.
Fast DC analysis and its application to combinatorial optimization problems
机译:
快速直流分析及其在组合优化问题中的应用
作者:
Trivedi G.
;
Desai M.P.
;
Narayanan H.
会议名称:
《International Conference on VLSI Design》
|
2006年
关键词:
SPICE;
circuit simulation;
combinatorial mathematics;
optimisation;
1 GByte;
1.2 hrs;
3 GHz;
DC circuits;
combinatorial optimization problems;
current sources;
fast DC analysis;
fast DC analyzer;
ideal diodes;
min cost flow problem;
positive resistors;
voltage sources;
意见反馈
回到顶部
回到首页