掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
IEEE International Symposium on Circuits and Systems;ISCAS 2009
IEEE International Symposium on Circuits and Systems;ISCAS 2009
召开年:
2009
召开地:
Taipei(CT);Taipei(CT)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
信号处理
数字技术与应用
电气电子教学学报
音响世界
电子质量
现代电视技术
移动信息
现代信息技术
数字家庭
广西通信技术
更多>>
相关外文期刊
International Journal of Wireless Networks and Broadband Technologies
Printed Circuit Design
IEEE Transactions on Speech and Audio Proceeding
Satellite Evolution Asia
Sampling theory in signal and image processing
Electrical Engineers, Journal of the Institution of
Elektronika
Fernmelde-Ingenieur
IEICE Transactions on Electronics
Telegraph Engineers, Journal of the Society of
更多>>
相关中文会议
北京通信学会2012信息通信网技术业务发展研讨会
中国计算机网络电话会议
2012中国平板显示学术会议
第十四届全国化合物半导体材料、微波器件和光电器件学术会议
综合电子系统技术教育部重点实验室暨四川省高密度集成器件工程技术研究中心2012学术年会
中国卫星通信广播电视技术第七届国际研讨会暨卫星通信广播电视与航天应用国际论坛
第七届全国互联网与音视频广播发展研讨会
2011中国电子制造与封装技术年会
'98中国移动通信研讨会
2015年光学精密工程论坛
更多>>
相关外文会议
International Conference on Polycrystalline Semiconductors VII; 20020910-20020913; Nara; JP
Optical metro networks and short-haul systems VIII
7th CDMA International Conference, CIC 2002, Oct 29-Nov 1, 2002, Seoul, Korea
2016 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization
The 2nd International Conference on Information Systems and Engineering (ISE 2002) Jul 14-18, 2002 San Diego, California
2017 IEEE International Workshop on Machine Learning Techniques for Software Quality Evaluation
2014 OptoElectronics and Communication Conference and Australian Conference on Optical Fibre Technology
Wavelets and sparsity XIV
Three-Dimensional TV, Video, and Display V; Proceedings of SPIE-The International Society for Optical Engineering; vol.6778
IPC Printed Circuits Expo, Apex, and the Designers Summit; 20070220-22; Los Angeles,CA(US)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
共
300
条结果
1.
CMOS image compression sensor with algorithmically-multiplying ADCs
机译:
具有算法乘法ADC的CMOS图像压缩传感器
作者:
Nilchi A.
;
Aziz J.
;
Genov R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS digital integrated circuits;
CMOS image sensors;
analogue-digital conversion;
capacitor switching;
data compression;
digital readout;
focal planes;
image coding;
matrix algebra;
multiplying circuits;
CMOS image compression sensor fabrication;
algorithmically-multiplying ADCs;
bit-wise accumulation;
block-matrix;
digital kernel;
focal plane;
image pixel readout;
image transform;
size 0.35 mum;
switched-capacitor accumulator circuit;
2.
Architecture of a digital pixel sensor array using 1-bit Hilbert predictive coding
机译:
使用1位Hilbert预测编码的数字像素传感器阵列的体系结构
作者:
Milin Zhang
;
Bermak A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CCD image sensors;
VLSI;
circuit complexity;
image coding;
image reconstruction;
sensor arrays;
1-bit predictive coding algorithm;
CMOS image sensors;
Hilbert scanning scheme;
PWM DPS architecture;
VLSI implementation;
digital pixel sensor array;
hardware wire connection;
3.
Coupling effects in networks of cyclic negative feedback systems
机译:
循环负反馈系统网络中的耦合效应
作者:
Lanza V.
;
Corinto F.
;
Gilli M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
biology;
feedback;
large-scale systems;
time-varying systems;
complex dynamical behavior;
coupling effects;
cyclic negative feedback systems;
negative feedback control loop;
periodic phenomena;
spectral techniques;
systems biology;
4.
Decision-directed adaptive wavelet image coding with diretional decomposition
机译:
定向分解的方向性自适应小波图像编码
作者:
Chao-Hsiung Hung
;
Hsueh-Ming Hang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive codes;
arithmetic codes;
frequency-domain analysis;
image coding;
wavelet transforms;
bit-plane arithmetic code;
decision-directed adaptive wavelet image coding;
directional decomposition method;
frequency domain analysis;
wavelet-based contourlet scheme;
5.
Realization of 3-D separable-denominator digital filters with very low l
2
-sensitivity
机译:
l
2 inf>灵敏度极低的3D可分离分母数字滤波器的实现
作者:
Hinamoto T.
;
Tanaka O.
;
Wu-Sheng Lu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
MIMO systems;
digital filters;
iterative methods;
matrix decomposition;
minimisation;
quantisation (signal);
state-space methods;
transfer functions;
3D multiinput multioutput transfer function;
3D separable-denominator digital filter;
cascade connection;
iterative technique;
lsub2/sub-scaling constraint;
minimal state-space model;
minimization problem;
optimal synthesis;
quantization error;
6.
Uncertainty principle of the second-order LPFT
机译:
二阶LPFT的不确定性原理
作者:
Xiumei Li
;
Guoan Bi
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Fourier transforms;
Gaussian distribution;
Wigner distribution;
parameter estimation;
polynomials;
signal representation;
signal resolution;
time-frequency analysis;
Gaussian window;
Wigner-Ville distribution;
second-order LPFT;
second-order local polynomial Fourier transform;
short-time Fourier transform;
signal segmentation;
speech signal simulation;
time-frequency representation;
uncertainty principle;
7.
Title page
机译:
封面
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
8.
An efficient correction method of wide-angle lens distortion for surveillance systems
机译:
监视系统广角镜畸变的有效校正方法
作者:
Wonjun Kim
;
Changick Kim
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
lenses;
video surveillance;
efficient correction method;
field of view;
fish-eye lenses;
surveillance systems;
wide-angle lens distortion;
9.
Image completion with generation of rotated patterns and efficient matching
机译:
通过生成旋转图案和高效匹配来完成图像
作者:
Orii H.
;
Kawano H.
;
Maeda H.
;
Ikoma N.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
image matching;
minimisation;
background element removal;
foreground element removal;
image completion;
objective function optimization;
pattern similarity;
rotated pattern generation;
rotated pattern variation;
10.
A new adaptive subpixel-based downsampling scheme using edge detection
机译:
一种新的基于边缘检测的自适应基于亚像素的下采样方案
作者:
Lu Fang
;
Au O.C.
;
Yi Yang
;
Weiran Tang
;
Xing Wen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive filters;
edge detection;
image colour analysis;
image resolution;
adaptive filter;
adaptive subpixel-based downsampling scheme;
color fringing artifacts suppresion;
11.
Adaptive video de-interlacing algorithm by motion characteristic detection
机译:
运动特征检测的自适应视频去隔行算法
作者:
Chia-Na Tsai
;
Ming-Te Wu
;
Chia-Hung Yeh
;
Mei-Juan Chen
;
Hsuan-Ting Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
edge detection;
image motion analysis;
video signal processing;
adaptive video deinterlacing algorithm;
edge line average deinterlacing scheme;
image motion characteristic detection;
ELA;
LA;
motion detection;
video deinterlacing;
weave;
12.
High-frequency systolic broadband beamforming using polyphase 3D IIR frequency-planar digital filters with interleaved A/D sampling
机译:
使用具有交错A / D采样的多相3D IIR频率平面数字滤波器的高频收缩宽带波束成形
作者:
Madanayake H.L.P.A.
;
Gunaratne T.K.
;
Bruton L.T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
IIR filters;
VLSI;
array signal processing;
field programmable gate arrays;
matrix algebra;
systolic arrays;
VLSI system;
Xilinx Sx35 FPGA device;
broadband radiofrequency digital beamforming;
frequency 50 MHz;
high-frequency systolic broadband beamforming;
interleaved A/D sampling;
massively-parallel polyphase systolic array processor;
parallel processor;
polyphase 3D IIR frequency-planar digital filter;
space-time digital frequency-planar filter;
13.
On continuous partial singular value decomposition algorithms
机译:
关于连续偏奇异值分解算法
作者:
Hasan M.A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
approximation theory;
nonlinear equations;
partial differential equations;
singular value decomposition;
continuous partial singular value decomposition algorithms;
low-rank matrix approximation;
nonlinear matrix differential equations;
optimization techniques;
matrix approximation;
principal singular subspace;
14.
Verification of desynchronized circuits
机译:
验证失步电路
作者:
Srinivasan S.K.
;
Katti R.S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
asynchronous circuits;
logic design;
DLX architecture;
circuit synthesis;
desynchronized circuit verification;
desynchronized pipelines;
high-level nonpipelined specifications;
refinement-based formal method;
15.
Implementation of a global clocking scheme for ULP radio networks
机译:
ULP无线电网络的全球时钟方案的实现
作者:
Wang X.Y.
;
Dokania R.K.
;
Apsel A.B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
synchronisation;
ultra wideband communication;
3-node synchronization;
ULP radio networks;
cycle-to-cycle jitter;
global clocking scheme;
on-chip CMOS impulse radios;
16.
On the three-dimensional orthogonal drawing of outerplanar graphs
机译:
关于外平面图的三维正交图
作者:
Tayu S.
;
Oshima T.
;
Ueno S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational geometry;
graph theory;
1-bend 3D orthogonal drawing;
2-bend three-dimensional orthogonal drawing;
outerplanar 5-graph;
series-parallel 6-graph;
17.
Adaptive inter-layer intra prediction in scalable video coding
机译:
可伸缩视频编码中的自适应层间帧内预测
作者:
Wenhao Zhang
;
Aidong Men
;
Pinhua Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Wiener filters;
adaptive filters;
image sampling;
video coding;
adaptive Wiener filters;
adaptive interlayer intraprediction;
adaptive signal processing techniques;
colocated base layer block upsampling;
enhancement layer;
macroblock;
prediction block;
scalable video coding;
18.
A novel method for global disparity vector estimation in multiview video coding
机译:
一种多视点视频编码中全局视差矢量估计的新方法
作者:
Tien-Ying Kuo
;
Chin-Kun Yeh
;
Hung-Yang Tsai
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational complexity;
image matching;
image sequences;
video coding;
GDV estimation;
H.264 video coding standard;
JMVM reference software;
Joint Multiview Video Model;
complexity reduction;
edge projection map;
frame matching;
global disparity vector estimation;
interview prediction;
motion skip mode;
multiview video coding;
prediction mode;
video sequences;
19.
A novel type-based vector modulator self-calibration technique
机译:
一种新颖的基于类型的矢量调制器自校准技术
作者:
Xinping Huang
;
Caron M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
channel bank filters;
modulators;
pulse shaping;
statistical distributions;
DC offsets;
channel filter;
computer simulations;
gain-phase imbalances;
patent-pending type-based technique;
pulse-shaping;
statistical distribution;
vector modulator self-calibration technique;
20.
A process compensated 3-GHz ring oscillator
机译:
过程补偿的3 GHz环形振荡器
作者:
Xuan Zhang
;
Apsel A.B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
UHF oscillators;
compensation;
invertors;
voltage-controlled oscillators;
VCO design;
external clock reference;
frequency 3 GHz;
high-speed ring oscillator compensation;
low-process variation current source leveraging;
postfabrication effort;
ring oscillator control loop;
temperature variation;
three stage inverter chain ring oscillator;
21.
Design of nonsubsampled directional filter banks with arbitrary number of channels
机译:
具有任意通道数的非下采样定向滤波器组的设计
作者:
Shi G.M.
;
Liang L.L.
;
Xie X.M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Fourier transforms;
Laplace equations;
channel bank filters;
discrete Fourier transforms;
Laplacian pyramid;
arbitrary number;
discrete-Fourier transform grid;
multidirection system;
multiscale system;
nonsubsampled directional filter banks;
pseudopolar Fourier transform;
two-dimensional PPFT grid;
22.
Color correction of multiview video with average color as reference
机译:
以平均色彩为参考的多视点视频的色彩校正
作者:
Doutre C.
;
Nasiopoulos P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
data compression;
image colour analysis;
image matching;
least squares approximations;
prediction theory;
regression analysis;
video coding;
block based disparity estimation;
color correction;
compression efficiency;
inter-view prediction;
least squares regressions;
multiview video;
23.
The design of the baseband processor of a non-coherent UWB receiver
机译:
非相干UWB接收机的基带处理器设计
作者:
Schemm N.
;
Balkir S.
;
Hoffman M.W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
feedback;
radio receivers;
synchronisation;
ultra wideband communication;
baseband power consumption;
baseband processor;
noncoherent UWB receiver;
packet detection;
power 3.5 mW;
signal strength;
symbol synchronization;
ultrawideband receiver;
24.
On the distributions of the relative phase of complex wavelet coefficients
机译:
关于复小波系数的相对相位分布
作者:
Vo A.
;
Oraintara S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Gaussian processes;
filtering theory;
image texture;
maximum likelihood estimation;
wavelet transforms;
Gaussian shape;
complex wavelet coefficients;
dual-tree complex wavelet;
maximum-likelihood method;
pdf;
probability density function;
pyramidal dual-tree directional filter bank;
von Mises parameters;
25.
An energy-efficient dual sampling SAR ADC with reduced capacitive DAC
机译:
具有减少的电容DAC的高能效双采样SAR ADC
作者:
Binhee Kim
;
Long Yan
;
Yoo J.
;
Namjun Cho
;
Hoi-Jun Yoo
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
analogue-digital conversion;
digital-analogue conversion;
sample and hold circuits;
MSB cycling step;
energy-efficient dual sampling SAR ADC;
reduced capacitive DAC;
sampling and holding;
successive approximation register;
switching energy distribution;
26.
Noise reduction for low-power broadband filtering
机译:
降低噪声以实现低功率宽带滤波
作者:
Renfei Liu
;
Parhi K.K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
FIR filters;
estimation theory;
FIR filter;
estimation-based noise reduction;
finite impulse response filter;
low-power broadband filtering;
supply voltage overscaling;
27.
Impact of random dopant induced statistical variability on inverter switching trajectories and timing variability
机译:
随机掺杂剂引起的统计可变性对逆变器开关轨迹和时序可变性的影响
作者:
Kamsani N.A.
;
Cheng B.
;
Roy S.
;
Asenov A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
logic circuits;
logic gates;
statistics;
fan-out/fan-in ratios;
inverter switching timing variability;
inverter switching trajectories variability;
random dopant induced statistical variability;
statistical circuit simulation;
28.
A new loss-reduced distributed amplifier structure
机译:
一种新的减少损耗的分布式放大器结构
作者:
Ghadiri A.
;
Moez K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
distributed amplifiers;
transmission lines;
IBM CMRF8SF CMOS;
bandwidth 38.5 GHz;
bandwidth 408 GHz;
branched distributed amplifier;
gain 20.5 dB;
loss-reduced distributed amplifier structure;
power 154 mW;
size 0.13 mum;
29.
Improving performance of active noise control systems in the presence of uncorrelated periodic disturbance at error microphone
机译:
在误差麦克风存在不相关的周期性干扰的情况下提高有源噪声控制系统的性能
作者:
Akhtar M.T.
;
Mitsuhashi W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
acoustic signal processing;
active noise control;
adaptive filters;
least mean squares methods;
microphones;
active noise control systems;
adaptive filter;
cascading algorithm;
error microphone;
filtered-x least mean square algorithm;
modified FxLMS algorithm;
uncorrelated periodic disturbance;
30.
SiGe class-E power amplifier with envelope tracking for mobile WiMAX/Wibro applications
机译:
SiGe E类功率放大器,具有用于移动WiMAX / Wibro应用的包络跟踪
作者:
Yan Li
;
Lopez J.
;
Lie D.Y.C.
;
Chen K.
;
Wu S.
;
Tzu-Yi Yang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
BiCMOS integrated circuits;
Ge-Si alloys;
WiMax;
open loop systems;
power amplifiers;
BiCMOS;
SiGe;
SiGe technology;
Wibro;
mobile WiMAX;
open-loop envelope tracking;
power added efficiency;
power amplifier;
31.
An Amplify-and-Forward architecture for low spectral efficiencies
机译:
低频谱效率的放大转发架构
作者:
Krikidis I.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
modulation;
adaptive systems;
amplify-and-forward architecture;
half-duplex cooperative systems;
low spectral efficiencies;
orthogonal channels;
quadrature modulation;
relay strategy;
Cooperative systems;
Relays;
Superposition;
32.
Sinusoid signal generator for on-chip impedance spectroscopy
机译:
用于片上阻抗谱的正弦信号发生器
作者:
Rairigh D.
;
Xiaowen Liu
;
Chao Yang
;
Mason A.J.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
electric impedance;
waveform generators;
CMOS process;
analog sine waves;
digital cosine waves;
digital frequency control;
on-chip impedance spectroscopy;
sinusoid signal generator;
size 0.5 mum;
33.
Natural images phase encoding and encryption with the same spectrum amplitude
机译:
具有相同频谱幅度的自然图像相位编码和加密
作者:
Soo-Chang Pei
;
Jian-Jiun Ding
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
cryptography;
data compression;
image coding;
image transformation;
natural images phase encoding;
natural images phase encryption;
phase-key algorithm;
same spectrum amplitude;
34.
Influence of junction capacitance of switching devices on Class E rectifier
机译:
开关器件的结电容对E类整流器的影响
作者:
Sakuma K.
;
Koizumi H.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
MOSFET;
numerical analysis;
rectifiers;
zero voltage switching;
class E low dv-dt rectifier;
class E zero-voltage-switching inverter;
junction capacitance;
shunt capacitor;
switching devices;
35.
Novel low voltage current-mirror sense amplifier based Flip-Flop with reduced delay time
机译:
基于新型触发器的低压电流镜检测放大器,具有缩短的延迟时间
作者:
Tuan Vu Cao
;
Wisland D.T.
;
Moradi F.
;
Lande T.S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
current mirrors;
flip-flops;
low-power electronics;
pulse generators;
CMOS technology;
clock trigger edge;
current-mirror sense amplifier;
flip-flop;
pulse generator;
reduced delay time;
set-reset latch stage;
setup time/hold time;
size 65 nm;
stacked transistors;
ultra-low voltage applications;
36.
Reduction of loop delay for digital symbol timing recovery systems using asynchronous equalization
机译:
使用异步均衡减少数字符号定时恢复系统的环路延迟
作者:
Ying-Ren Chien
;
Chu-Yun Lin
;
Hen-Wai Tsao
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
delays;
digital communication;
equalisers;
least mean squares methods;
synchronisation;
timing jitter;
asynchronous delayed least-mean-square adaptation algorithm;
asynchronous equalization;
digital symbol timing recovery system;
high phase margin;
loop delay reduction;
low gain peaking;
receiver architectures;
37.
Fine-grained power gating for leakage and short-circuit power reduction by using asynchronous-logic
机译:
使用异步逻辑的细粒度电源门控,可降低泄漏和短路功率
作者:
Tong Lin
;
Kwen-Siong Chong
;
Bah-Hwee Gwee
;
Chang J.S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
MOSFET;
asynchronous circuits;
NMOS transistor;
PMOS transistor;
asynchronous-logic pipeline stage;
bit rate 10 Mbit/s;
computer simulation;
fine-grained power gating technique;
leakage power;
locally controlled gating transistor;
short-circuit power;
short-circuit power reduction;
38.
Multiple description coding in the quincunx sub-sampling lattice with diamond-shape DCT
机译:
菱形DCT在梅花形子采样格中的多描述编码
作者:
Siu-Kei Au Yeung
;
Bing Zeng
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
covariance matrices;
discrete cosine transforms;
image coding;
image resolution;
image sampling;
Toeplitz type;
covariance matrix;
diamond-shape DCT;
energy packing efficiency;
multiple description coding;
pixel-domain subsampling;
quincunx subsampling lattice;
standard JPEG compression scheme;
theoretical analysis;
traditional realignment approach;
39.
ECG beat classification based on signal decomposition: a comparative study
机译:
基于信号分解的心电图心跳分类:对比研究
作者:
Sung-Nien Yu
;
Ying-Hsiang Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Gaussian noise;
backpropagation;
biomedical electronics;
discrete wavelet transforms;
electrocardiography;
feedforward neural nets;
independent component analysis;
medical signal processing;
signal classification;
support vector machines;
white noise;
ECG beat classification;
HOS-DWT-FFBNN method;
IC reordering method OWSL;
ICA-SVM method;
effective feature selection;
linear correlation based filter;
muscle artifact;
noise tolerance;
power line interference;
signal decomposition;
white Gaussian noise;
40.
1-V, high speed, low leakage CMOS CML multiplexer
机译:
1V,高速,低泄漏CMOS CML多路复用器
作者:
Abdelkader S.
;
Omar M.
;
Dessouky M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
current-mode logic;
electrical faults;
multiplexing equipment;
deep submicron technology;
frequency oscillator signal;
low leakage CMOS CML multiplexer;
multiplexed signal;
signal feed;
size 90 nm;
voltage 1 V;
41.
Ultra low power full adder topologies
机译:
超低功耗全加法器拓扑
作者:
Moradi F.
;
Wisland D.T.
;
Mahmoodi H.
;
Aunet S.
;
Cao T.V.
;
Peiravi A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adders;
logic gates;
network topology;
gate diffusion input technique;
sense energy recovery full adder design;
ultra low power full adder topologies;
Full adder;
GDI;
SERF;
Subthreshold;
42.
Metrics and design considerations on the energy-delay tradeoff of digital circuits
机译:
数字电路的能量延迟权衡的度量和设计注意事项
作者:
Alioto M.
;
Consoli E.
;
Palumbo G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
VLSI;
delays;
integrated circuit design;
microprocessor chips;
commercial microprocessors;
digital VLSI circuits;
digital circuits design;
energy-delay tradeoff;
logical effort method;
43.
Modeling and simulation of a thin film power transfer cell for medical devices and implants
机译:
用于医疗设备和植入物的薄膜动力传输单元的建模和仿真
作者:
Xiaoyu Liu
;
Fei Zhang
;
Hackworth S.A.
;
Sclabassi R.J.
;
Mingui Sun
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
prosthetic power supplies;
thin film capacitors;
thin film inductors;
capacitors;
inductors;
insulation layer;
medical devices;
medical implants;
mesh current analysis;
mid-range resonant coupling;
tape coil;
thin film power transfer cell;
wireless power transfer;
circuit analysis;
implantable device;
medical device;
power transfer;
resonance;
strong coupling;
thin film cell;
wireless electricity;
witricity;
44.
Design of one-cycle control power factor correction IC with unipolar supply voltage
机译:
单极性电源电压的单周期控制功率因数校正IC的设计
作者:
Kai-Chi Chang
;
Kai-Hui Chen
;
Tsorng-Juu Liang
;
Bin-Da Liu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
integrated circuit design;
power factor correction;
switched current circuits;
PFC controller;
boost type power factor correction;
continuous conduction mode;
instant-switch-current control;
leading edge blanking;
level shift circuit;
one-cycle control power factor correction IC;
over voltage protection;
unipolar supply voltage;
One-cycle control;
45.
FPGA implementation of a video-rate fluorescence lifetime imaging system with a 32×32 CMOS single-photon avalanche diode array
机译:
具有32×32 CMOS单光子雪崩二极管阵列的视频速率荧光寿命成像系统的FPGA实现
作者:
Day-Uei Li
;
Walker R.
;
Richardson J.
;
Rae B.
;
Buts A.
;
Renshaw D.
;
Henderson R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS image sensors;
avalanche photodiodes;
field programmable gate arrays;
fluorescence;
integrated optics;
video cameras;
CMOS single-photon avalanche diode array;
FPGA;
SPAD;
biological applications;
fluorescence lifetime imaging microscopy;
size 0.13 mum;
video-rate fluorescence lifetime imaging system;
46.
A robust affine projection algorithm with feedback compensation of the condition number
机译:
具有条件数反馈补偿的鲁棒仿射投影算法
作者:
Tsakiris M.C.
;
Lopes C.G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
feedback;
matrix algebra;
robust control;
computational cost;
condition number;
feedback compensation;
finite precision computations;
inversion errors;
matrix inversion;
robust affine projection algorithm;
47.
Improvement of the mu-law proportionate NLMS algorithm
机译:
mu律比例NLMS算法的改进
作者:
Ligang Liu
;
Fukumoto M.
;
Shiyong Zhang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
filtering theory;
least mean squares methods;
Mu-law proportionate NLMS algorithm;
SPNLMS algorithm;
noise level;
segment function;
sparse impulse responses;
48.
Efficient hardware implementation of the hyperbolic tangent sigmoid function
机译:
双曲正切S型函数的高效硬件实现
作者:
Namin A.H.
;
Leboeuf K.
;
Muscedere R.
;
Huapeng Wu
;
Ahmadi M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
VLSI;
neural nets;
piecewise linear techniques;
table lookup;
CMOS process;
VLSI implementation;
artificial neural networks;
hardware implementation;
hyperbolic tangent sigmoid function;
hyperbolic tangent sigmoid functions;
Hyperbolic tangent function;
Piecewise linear approximation;
Sigmoid function;
49.
Modeling and noninvasive correction of auditory disorders with inverse artificial neural network
机译:
逆人工神经网络对听觉障碍进行建模和无创校正
作者:
Limpaphayom K.
;
Newcomb R.W.
;
Isipradit P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Hopfield neural nets;
handicapped aids;
hearing;
hearing aids;
medical computing;
analog behavioral modeling;
auditory disorders;
hearing aid type device;
hearing impairment;
inverse artificial neural network;
modified nonlinear Hopfield neural network;
noninvasive auditory brainstem response;
noninvasive correction;
sensorineural hearing loss;
50.
Emulation of salamander retina with multilayer neural network
机译:
用多层神经网络模拟sal视网膜
作者:
Sung-Nien Yu
;
Chien-Nan Lin
;
Chun-Chieh Chan
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
cellular neural nets;
eye;
field programmable gate arrays;
image sequences;
multimedia computing;
zoology;
FPGA multimedia board;
PIPE model;
multilayer cellular neural network;
salamander retina emulation;
51.
Mixed signal frequency mixers with intermodulation product cancellation
机译:
具有互调产物抵消功能的混合信号频率混频器
作者:
Ling W.A.
;
Sotiriadis P.P.
;
Adams R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS digital integrated circuits;
mixers (circuits);
programmable logic devices;
waveform generators;
CMOS digital circuits;
all-digital mixer;
broadband frequency mixer;
intermodulation product cancellation;
mixed signal frequency mixers;
programmable logic implementation;
sinewave generation process;
spectral measurements;
52.
A wide frequency tuning range active-inductor voltage-controlled oscillator for ultra wideband applications
机译:
适用于超宽带应用的宽频率调谐范围的有源电感压控振荡器
作者:
DiClemente D.
;
Yuan F.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
circuit tuning;
frequency synthesizers;
inductance;
inductors;
phase noise;
ultra wideband communication;
voltage-controlled oscillators;
CMOS technology;
active inductor LC-tank voltage-controlled oscillator;
band selection;
fine frequency tuning;
frequency 0.2 GHz to 6.5 GHz;
frequency 1 MHz;
frequency adjustment;
frequency synthesis;
inductance tuning;
primary tuning;
size 0.18 mum;
ultra wide frequency tuning range;
ultra wideband application;
voltage 1.8 V;
wide-band tuning;
53.
A 2mA-2.5V low phase noise multi-standard VCO
机译:
2mA-2.5V低相位噪声多标准VCO
作者:
Shah K.
;
Singh J.
;
Hai Phuong Le
;
Devlin J.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
3G mobile communication;
cellular radio;
digital communication;
flicker noise;
microwave oscillators;
microwave receivers;
personal communication networks;
phase noise;
sapphire;
silicon;
voltage-controlled oscillators;
Alsub2/subOsub3/sub;
DCS;
GSM;
Si;
UMTS;
VCO;
current 2 mA;
digital communication systems;
flicker noise components;
frequency 3.1 GHz to 4.1 GHz;
global standards for mobile;
low phase noise;
multiple noise filtering;
multistandard wireless receiver;
personal communication systems;
quality factor;
54.
Improved large-signal model for vacuum triodes
机译:
改进的真空三极管大信号模型
作者:
Cardarilli G.C.
;
Re M.
;
Di Carlo L.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
triodes;
grid current flow analysis;
triode averaged output characteristics;
vacuum triode large-signal model;
55.
Scalable serial-parallel multiplier over GF(2
m
) by hierarchical pre-reduction and input decomposition
机译:
通过分级预约简和输入分解在GF(2
m sup>)上可扩展的串行并行乘法器
作者:
Meher P.K.
;
Lee C.-Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Galois fields;
logic gates;
polynomials;
area-complexity;
area-delay complexity;
elliptic curve cryptography;
field polynomials;
finite fields;
hierarchical prereduction;
input decomposition;
recursive decomposition;
scalable serial-parallel multiplier;
serial-parallel architecture;
very large scale integration;
Finite field;
Galois field;
elliptic curve cryptography (ECC);
finite field multiplication;
very large scale integration (VLSI);
56.
A new approach for estimation of R
DS(on)
of power arrays: Extensions and experimental results
机译:
估计功率阵列R
DS(on) inf>的新方法:扩展和实验结果
作者:
Ghosh J.
;
Mukhopadhyay S.
;
Patra A.
;
Culpepper B.
;
Tawen Mei
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
DC-DC power convertors;
circuit layout;
power MOSFET;
switching convertors;
metal geometry;
onchip DC-DC converters;
onchip power array;
onresistance estimation;
power MOSFET switch layouts;
57.
A programmable online/off-line built-in self-test scheme for RAMs with ECC
机译:
具有ECC的RAM的可编程在线/离线内置自检方案
作者:
Hsing-Chen Lu
;
Jin-Fu Li
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
SRAM chips;
built-in self test;
circuit reliability;
circuit testing;
error correction codes;
fault location;
RAM;
SRAM;
embedded memory;
error correction code;
fault location capability;
off-line built-in self-test scheme;
periodic transparent testing;
production testing;
programmable online built-in self-test scheme;
random access memories;
system-on-chip design;
transistor;
58.
Arithmetic/logic blocks for fine-grained reconfigurable units
机译:
细粒度可重构单元的算术/逻辑块
作者:
Cardarilli G.C.
;
Di Nunzio L.
;
Re M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
digital signal processing chips;
logic circuits;
random-access storage;
reconfigurable architectures;
table lookup;
DSP processors;
arithmetic-logic blocks;
fine-grained reconfigurable units;
fixed structure unit;
floating-point units;
logic-arithmetic operators;
lookup tables;
reconfigurable cells;
59.
A dynamic quality-scalable H.264 video encoder
机译:
动态质量可缩放的H.264视频编码器
作者:
Hsiu-Cheng Chang
;
Jia-Wei Chen
;
Yao-Chang Yang
;
Cheng-An Chien
;
Tzu-Chun Chang
;
Jinn-Shyan Wang
;
Jiun-In Guo
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
motion estimation;
video coding;
dynamic quality-scalable H.264 video encoder;
intra prediction;
60.
Low peak power ATPG for n-detection test
机译:
低峰值功率ATPG用于n检测测试
作者:
Sying-Jyan Wang
;
Kuo-Lin Fu
;
Li K.S.-M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
automatic test pattern generation;
ISCAS'89 benchmark circuits;
fault models;
low peak power ATPG;
n-detection test;
peak power reduction;
power-aware test compaction method;
signal transitions;
61.
Hybrid BiST solution for Analog to Digital Converters with low-cost Automatic Test Equipment compatibility
机译:
具有低成本自动测试设备兼容性的模数转换器混合BiST解决方案
作者:
Dasnurkar S.
;
Abraham J.A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
analogue-digital conversion;
automatic test equipment;
automatic testing;
built-in self test;
logic testing;
analog to digital converter;
automatic test equipment compatibility;
digital testing;
hybrid BiST solution;
mixed signal circuitry testing;
62.
MIPA4k: A 64×64 cell mixed-mode image processor array
机译:
MIPA4k:64×64单元混合模式图像处理器阵列
作者:
Poikonen J.
;
Laiho M.
;
Paasio A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS image sensors;
analogue storage;
analogue-digital conversion;
digital-analogue conversion;
embedded systems;
image processing;
microprocessor chips;
mixed analogue-digital integrated circuits;
parallel architectures;
A/D/A conversion;
CMOS technology;
MIPA4k;
analog memory;
binary processing core;
different functional hardware;
embedded digital memory;
hardware-optimized grayscale core;
image sensor;
mixed-mode image processor array chip;
processor architecture;
size 0.13 micron;
63.
Live demonstration: MIPA4k: A 64×64 cell mixed-mode image processor array
机译:
现场演示:MIPA4k:64×64单元混合模式图像处理器阵列
作者:
Laiho M.
;
Poikonen J.
;
Virta P.
;
Paasio A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
cellular neural nets;
image processing equipment;
microprocessor chips;
MIPA4k;
array computing;
cell mixed-mode image processor array;
cellular neural networks;
full-length paper;
topographical microprocessors;
64.
Margins of stability of inner-current loop of peak current-mode controlled PWM dc-dc converters
机译:
峰值电流模式控制PWM DC-DC转换器的内部电流环路的裕度裕度
作者:
Kondrath N.
;
Kazimierczuk M.K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
DC-DC power convertors;
PWM power convertors;
compensation;
electric current control;
Pade approximation;
duty cycle;
inner-current loop;
maximum duty cycle;
normalized crossover frequency;
peak current-mode controlled PWM dc-dc converters;
slope compensation;
specified phase margin;
65.
Live demonstration: Embedded a low area 32-bit AES for image encryption/decryption application
机译:
现场演示:嵌入式低区域32位AES,用于图像加密/解密应用
作者:
Kuo-Huang Chang
;
Yi-Cheng Chen
;
Chung-Cheng Hsieh
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
cryptography;
image coding;
image decryption;
image encryption;
live demonstration;
low area 32-bit AES;
66.
Constant voltage control of high voltage high frequency power supply for ozone quantity adjustment
机译:
用于调节臭氧量的高压高频电源的恒压控制
作者:
Hothongkham P.
;
Kinnares V.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
PWM invertors;
bridge circuits;
oxygen;
power supplies to apparatus;
zero voltage switching;
MATLAB/SIMULINK;
constant voltage control;
full bridge inverter;
high voltage high frequency power supply;
ozone generator;
ozone quantity adjustment;
phase shift pulse width modulation;
resonant inductor;
zero voltage switching mode;
67.
Embedded a low area 32-bit AES for image encryption/decryption application
机译:
嵌入式低位32位AES用于图像加密/解密应用
作者:
Kuo-Huang Chang
;
Yi-Cheng Chen
;
Chung-Cheng Hsieh
;
Chi-Wu Huang
;
Chi-Jeng Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
application specific integrated circuits;
cryptography;
field programmable gate arrays;
image processing;
32-bit AES;
ASIC;
FPGA;
MicroBlaze processor;
Xilinx Spartan3E;
advance encryption standard;
image decryption application;
image encryption application;
68.
A digital driving technique for an 8-bit QVGA AMOLED using delta-sigma modulation
机译:
使用delta-sigma调制的8位QVGA AMOLED的数字驱动技术
作者:
Jae Hyuk Jang
;
Minho Kwon
;
Tjandranegara E.
;
Lee K.
;
Byunghoo Jung
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
LED displays;
delta-sigma modulation;
field programmable gate arrays;
DeltaSigma modulation;
PWM;
QVGA AMOLED;
TFT VsubT/sub-shift issue;
digital driving technique;
image contour problem;
pixel structure;
69.
Live demonstration: Computing spike-based convolutions on GPUs
机译:
现场演示:在GPU上计算基于峰值的卷积
作者:
Nageswaran J.M.
;
Dutt N.
;
Yingxue Wang
;
Delbrueck T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computer graphic equipment;
convolution;
feature extraction;
image sensors;
neural nets;
object recognition;
live demonstration;
parallel graphics processor unit;
real-time spike-based convolution processing system;
spike based dynamic vision sensor;
spike-based neural networks;
70.
A low-power high-speed ultra wideband pulse radio system
机译:
低功率高速超宽带脉冲无线电系统
作者:
Wei Tang
;
Culurciello E.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
ultra wideband communication;
Peregrine semiconductors;
bit rate 12 Mbit/s;
frequency 90 MHz;
low-power high-speed ultra wideband pulse radio system;
silicon-on-sapphire CMOS process;
transmitter;
wireless biomedical applications;
wireless transmission;
71.
A spread spectrum clock generator with spread ratio error reduction scheme for DisplayPort main link
机译:
用于DisplayPort主链路的具有扩频比误差减小方案的扩频时钟发生器
作者:
Won-Young Lee
;
Lee-Sup Kim
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
VHF devices;
VHF oscillators;
electromagnetic interference;
phase locked loops;
reliability;
signal generators;
spread spectrum communication;
timing jitter;
voltage-controlled oscillators;
CMOS process;
DisplayPort main link;
frequency 162 MHz;
frequency 270 MHz;
jitter;
process variation compensator;
size 0.18 mum;
spread ratio error reduction scheme;
spread spectrum clock generator;
72.
An area efficient on-chip static IR drop detector/evaluator
机译:
面积高效的片上静态红外压降检测器/评估器
作者:
Tung-Yeh Wu
;
Gharahi S.
;
Abraham J.A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
circuit noise;
detector circuits;
oscillators;
detector;
evaluator;
on-chip compensation;
ring oscillator;
static IR drop;
supply voltage noise;
73.
Workflow for an electronic configurable prototyping system
机译:
电子可配置原型系统的工作流程
作者:
Lepercq E.
;
Blaquiere Y.
;
Norman R.
;
Savaria Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
circuit analysis computing;
integrated circuit interconnections;
integrated circuit packaging;
network routing;
workflow management software;
WSI active configurable circuit board;
configurable circuit board technology;
electronic configurable prototyping;
interconnect integrated circuit packages;
multidimensional mesh interconnection network;
package recognition;
printed circuit boards;
wafer-scale integrated circuit;
74.
A low power Transimpedance Amplifier using inductive feedback approach in 90nm CMOS
机译:
在90nm CMOS中使用电感反馈方法的低功耗跨阻放大器
作者:
Ghasemi O.
;
Raut R.
;
Cowan G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
MOSFET;
amplifiers;
electric impedance;
electromagnetic induction;
low-power electronics;
photocapacitance;
BW extension;
CMOS technology;
MOS transistor;
inductive feedback;
loading capacitance;
low power transimpedance amplifier;
noise performance;
parasitic capacitance;
photodiode capacitance;
power dissipation;
size 90 nm;
zero-pole cancellation;
75.
A multi-standard video decoder for high definition video applications
机译:
用于高清视频应用的多标准视频解码器
作者:
Cheng-An Chien
;
Chih-Da Chien
;
Jui-Chin Chu
;
Jiun-In Guo
;
Ching-Hwa Cheng
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational complexity;
high definition video;
video coding;
AHB-based SDR memory;
external memory bandwidth;
frequency 120 MHz;
high definition video applications;
multistandard video decoder;
76.
Author index
机译:
作者索引
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
77.
A biphasic integrate-and-fire system
机译:
双相集成射击系统
作者:
Sheng-Feng Yen
;
Jie Xu
;
Rastogi M.
;
Harris J.G.
;
Principe J.C.
;
Sanchez J.C.
会议名称:
《》
|
2009年
关键词:
brain-computer interfaces;
Tucker-Davis technology;
asynchronous biphasic pulse coding;
asynchronous pulse train;
biphasic integrate-and-fire system;
brain-machine interfaces;
frequency 25 kHz;
hardware neural simulator;
neuronal recording system;
noise-resistance;
pulse-based approach;
78.
A neural signal detection amplifier with low-frequency noise suppression
机译:
具有低频噪声抑制的神经信号检测放大器
作者:
Yoshida T.
;
Masui Y.
;
Eki R.
;
Iwata A.
;
Yoshida M.
;
Uematsu K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
interference suppression;
neural chips;
signal detection;
AC power supply;
CMOS technology;
DC offset voltage;
MOSFET;
autozeroing technique;
gain 36 dB;
low-frequency noise suppression;
neural signal detection amplifier;
neural spike signals;
power 90 muW;
power dissipation;
size 0.18 mum;
voltage 1.5 V;
79.
Transform-domain partial prediction algorithm for intra prediction in H.264/AVC
机译:
H.264 / AVC中帧内预测的变换域局部预测算法
作者:
Chia-Wei Tien
;
Heng-Yao Lin
;
Bin-Da Liu
;
Jar-Ferr Yang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
transforms;
video coding;
H.264/AVC;
cosine multiplication;
cost function;
integer transform;
transform-domain partial prediction algorithm;
80.
A 90nm CMOS bio-potential signal readout front-end with improved powerline interference rejection
机译:
具有改进的电力线干扰抑制能力的90nm CMOS生物电势信号读取前端
作者:
Chon-Teng Ma
;
Pui-In Mak
;
Mang-I Vai
;
Peng-Un Mak
;
Sio-Hang Pun
;
Wan Feng
;
Martins R.P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
choppers (circuits);
coupled circuits;
instrumentation amplifiers;
interference suppression;
readout electronics;
transistors;
AC-coupler;
CMOS biopotential signal readout front-end;
DC-offset;
chopper;
current 16.55 muA to 35.5 muA;
frequency 50 Hz;
front-stage instrumentation amplifier;
noise figure 140 dB;
noise-aware transistor selection;
nonoverlapping clock generators;
powerline interference rejection;
voltage 3 V;
81.
128-channel spike sorting processor with a parallel-folding structure in 90nm process
机译:
具有90纳米工艺的平行折叠结构的128通道尖峰分选处理器
作者:
Tung-Chien Chen
;
Wentai Liu
;
Liang-Gee Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
microprocessor chips;
prosthetics;
signal processing equipment;
128-channel spike sorting processor;
data caching;
large dynamic power;
neural prostheses;
parallel-folding structure;
power 1.87 mW;
realtime signal processing algorithms;
size 90 nm;
82.
Design and analysis of a current-reuse transmitter for ultra-low power applications
机译:
用于超低功耗应用的电流复用变送器的设计和分析
作者:
Le Zheng
;
Hsin-Cheng Yao
;
Tzeng F.
;
Heydari P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
UHF oscillators;
UHF power amplifiers;
low-power electronics;
radio transmitters;
voltage-controlled oscillators;
CMOS current-reuse transmitter;
VCO;
class-E power amplifier;
frequency 2.4 GHz;
optional RX block;
stacking technique;
ultra-low power applications;
83.
Harmonic control network for 2.6 GHz CMOS class-F power amplifier
机译:
2.6 GHz CMOS F类功率放大器的谐波控制网络
作者:
Hsien-Yuan Liao
;
Jhih-Hong Chen
;
Hwann-Kaeo Chiou
;
Shih-Ming Wang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
MMIC power amplifiers;
WiMax;
field effect MMIC;
harmonics suppression;
integrated circuit design;
low-power electronics;
CMOS class-F power amplifier design;
gain 13.1 dB;
off-chip PCB;
second harmonic control output network;
signal modulation;
third harmonic control output network;
voltage 1.8 V;
84.
Modeling RTOS Components for instruction cache hit rate estimation
机译:
为指令缓存命中率估算建模RTOS组件
作者:
Dash S.K.
;
Srikanthan T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
cache storage;
operating systems (computers);
real-time systems;
regression analysis;
RTOS components;
design space exploration;
exhaustive cache hierarchy simulation;
instruction cache hit rate estimation;
multivariate regression schemes;
85.
A novel Genetic Algorithm with cell crossover for circuit design optimization
机译:
带有单元交叉的遗传算法用于电路设计优化
作者:
Zhiguo Bao
;
Watanabe T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
genetic algorithms;
network synthesis;
cell crossover;
circuit design optimization;
evolutionary algorithms;
evolvable hardware;
genetic algorithm;
86.
Low-power linear-phase delay filters for neural signal processing: Comparison and synthesis
机译:
用于神经信号处理的低功率线性相位延迟滤波器:比较和综合
作者:
Gosselin B.
;
Zbrzeski A.
;
Sawan M.
;
Kerherve E.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
linear phase filters;
low-power electronics;
operational amplifiers;
prosthetics;
recording;
signal detection;
transfer functions;
allpass transfer function;
automatic biopotential detection;
constant-delay bandwidth;
continuous-time OTA-C filter;
current 200 nA;
digital delay;
inverse follow-the-leader feedback filter;
low-distortion delay element;
low-power linear-phase delay filter;
neural recording implant;
power budget;
transistor-level simulation;
ultra-low power neural signal processing;
Cascaded filter;
Inve;
87.
Combined LNA and mixer circuits for 2.4 GHz ISM band
机译:
适用于2.4 GHz ISM频段的组合LNA和混频器电路
作者:
Martins M.A.
;
Oliveira L.B.
;
Fernandes J.R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
UHF amplifiers;
UHF filters;
UHF integrated circuits;
UHF mixers;
band-pass filters;
integrated circuit design;
intermediate-frequency amplifiers;
low noise amplifiers;
low-pass filters;
low-power electronics;
ISM band;
LC band-pass filter;
LNA-mixer circuit;
RC low-pass filter;
UMC CMOS technology;
circuit design;
frequency 2.4 GHz;
frequency 400 MHz;
frequency 50 MHz;
low IF amplifier;
size 0.13 mum;
voltage 1.2 V;
88.
Efficient VLSI design of a reverse RNS converter for new flexible 4-moduli set (2
p+k
, 2
p
+1, 2
p
−1, 2
2p
+1)
机译:
适用于新型灵活4模数集(2
p + k sup>,2
p sup> +1、2
p sup>-的反向RNS转换器的高效VLSI设计1,2
2p sup> +1)
作者:
Yuan-Ching Kuo
;
Su-Hon Lin
;
Ming-Hwa Sheu
;
Jia-You Wu
;
Peng-Siang Wang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
VLSI;
convertors;
integrated circuit design;
residue number systems;
CMOS technology;
Chinese remainder theorem;
VLSI design;
dynamic range;
flexible 4-moduli set;
frequency 105 MHz;
high-speed residue number system convertor;
power 1.3 mW;
power consumption;
reverse RNS converter;
reverse conversion algorithm;
89.
Fixed and variable multi-modulus squarer architectures for triple moduli base of RNS
机译:
用于RNS的三重模数的固定和可变多模平方器体系结构
作者:
Muralidharan R.
;
Chip-Hong Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
fixed point arithmetic;
residue number systems;
CMOS;
RNS;
fixed multi-modulus architecture;
fixed multi-modulus squarer architectures;
residue arithmetic units;
single-modulus architecture;
size 0.18 mum;
variable multi-modulus squarer architectures;
90.
A new decimal antilogarithmic converter
机译:
一个新的十进制对数转换器
作者:
Dongdong Chen
;
Yu Zhang
;
Teng D.
;
Wahid K.
;
Moon Ho Lee
;
Seok-Bum Ko
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
convertors;
field programmable gate arrays;
floating point arithmetic;
DFP;
IEEE 754-2008 standard;
Xilinx Virtex-II Pro P30 FPGA device;
binary FXP exponential converter;
decimal antilogarithmic converter;
decimal floating-point;
digit-recurrence algorithm;
91.
Low-power 7.2 GHz complementary all-N-transistor logic using 90 nm CMOS technology
机译:
采用90 nm CMOS技术的低功耗7.2 GHz互补全N晶体管逻辑
作者:
Chia-Hao Hsu
;
Gang-Neng Sung
;
Tuo-Yu Yao
;
Chun-Ying Juan
;
Yain-Reu Lin
;
Chua-Chin Wang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
MMIC;
leakage currents;
logic circuits;
CMOS technology;
complementary all-N-transistor;
frequency 7.2 GHz;
inverted ANT logic;
power 102 mW;
pre-charge phase;
size 90 nm;
subthreshold leakage current;
transistors bulk voltage;
transistors threshold voltage;
“o” cell;
ANT;
Complementary all-N-transistor (CANT);
carry lookahead adder (CLA);
tree-structure;
92.
A low power current reused quadrature VCO for biomedical applications
机译:
用于生物医学应用的低功耗电流复用正交VCO
作者:
Khan T.
;
Raahemifar K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
UHF integrated circuits;
UHF oscillators;
biomedical electronics;
low-power electronics;
phase noise;
prosthetics;
voltage-controlled oscillators;
Cadence IC5.141;
IBM CMOS8RF CMOS technology;
MICS frequency band;
biomedical applications;
frequency 401.25 MHz to 407.5 MHz;
frequency 402 MHz to 405 MHz;
implantable electronics;
low power current reusing quadrature voltage controlled oscillator;
medical implant communication service;
oscillator phase noise;
power 1 mW;
power consumption red;
93.
An open-loop octave-phase local-oscillator generator with high-precision correlated phases for VHF/UHF mobile-TV tuners
机译:
用于VHF / UHF移动电视调谐器的具有高精度相关相位的开环八度相位本振发生器
作者:
Ka-Fai Un
;
Pui-In Mak
;
Martins R.P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
UHF oscillators;
VHF oscillators;
mobile television;
phase locked oscillators;
CMOS process;
LO generator;
UHF mobile-TV tuners;
VHF mobile-TV tuners;
current 2.3 mA to 5.1 mA;
frequency 170 MHz to 245 MHz;
frequency 470 MHz to 860 MHz;
high-precision correlated phases;
inverter-based phase correctors;
open-loop octave-phase local-oscillator generator;
polyphase mixer scheme;
size 90 nm;
voltage 1 V;
wideband reception;
94.
Direct sequential evaluation of Hermite-Gaussian-like eigenvectors of the DFT matrix
机译:
DFT矩阵的类似Hermite-Gaussian特征向量的直接顺序评估
作者:
Hanna M.T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Gaussian processes;
discrete Fourier transforms;
eigenvalues and eigenfunctions;
matrix algebra;
DFT matrix;
Euclidian norm;
Hermite-Gaussian-like eigenvectors;
direct sequential evaluation;
eigenspace;
eigenvalues;
orthonormal eigenvectors;
Gram-Schmidt algorithm (GSA);
discrete fractional Fourier transform;
95.
Broadcast of scalable video over wireless networks
机译:
通过无线网络广播可伸缩视频
作者:
Yu Wang
;
Lap-Pui Chau
;
Kim-Hui Yap
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
combined source-channel coding;
digital video broadcasting;
error correction codes;
forward error correction;
radio networks;
video coding;
wireless channels;
channel coding;
channel protection scheme;
forward error correction code;
scalable video coding technique;
source coding;
video broadcasting;
wireless network;
96.
An Opportunistic Multi Rate MAC for reliable H.264/AVC video streaming over Wireless Mesh Networks
机译:
机会多速率MAC,可通过无线网状网络提供可靠的H.264 / AVC视频流
作者:
Byung Joon Oh
;
Chang Wen Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
access protocols;
channel estimation;
spectral analysis;
telecommunication network reliability;
telecommunication network topology;
video coding;
video streaming;
wireless LAN;
wireless channels;
channel quality estimation;
opportunistic multirate IEEE 802.11 MAC protocol;
reliable H.264/AVC video streaming;
spectral efficient transmission rate;
wireless mesh network;
97.
A low-noise monolithic CMOS bio-potential detector
机译:
低噪声单片CMOS生物电势检测器
作者:
Sheung Wai Fung
;
Bing Liu
;
Jie Yuan
;
Qing Guo
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
bioelectric potentials;
biomedical electronics;
electrocardiography;
electroencephalography;
electromyography;
feature extraction;
low noise amplifiers;
low-pass filters;
low-power electronics;
medical signal processing;
patient diagnosis;
switched capacitor filters;
CMOS amplifier;
ECG;
EEG;
EMG;
HSPICE simulation;
bandwidth 1 kHz;
bandwidth 5 kHz;
biomedical diagnostics;
chopping-pulse free switched-capacitor low-pass filter;
extra-cellular recording;
high gain feedback loop;
low-frequency n;
98.
NMOS-only Class-D output stages based on charge pump architectures
机译:
基于电荷泵架构的仅NMOS的D类输出级
作者:
Maughan S.
;
Henderson R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
audio-frequency amplifiers;
charge pump circuits;
driver circuits;
integrated circuit reliability;
low-power electronics;
NMOS-only class-D output driver;
audio amplifier;
charge pump architectures;
gate-oxide reliability rules;
low-voltage CMOS technology;
size 0.13 mum;
voltage 3.3 V;
99.
An optimizing search based algorithm for FIR filtering with noisy input-output data
机译:
输入-输出数据有噪的FIR滤波优化搜索算法
作者:
Wei Xing Zheng
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
FIR filters;
least squares approximations;
optimisation;
search problems;
FIR filtering;
algebraic equation;
finite impulse response filtering;
iteration procedure;
least-squares parameter estimation;
noisy input-output data;
optimizing search;
100.
Filterless multi-level delta-sigma class-D amplifier for portable applications
机译:
适用于便携式应用的无滤波器多电平delta-sigma D类放大器
作者:
Matamura A.
;
Nishimura N.
;
Liu B.Y.
会议名称:
《》
|
2009年
关键词:
amplifiers;
circuit feedback;
circuit switching;
delta-sigma modulation;
harmonic distortion;
modulators;
LC filters;
PCB space;
analog feedback;
average switching frequency;
common-mode control;
filterless class-D amplifier;
frequency 300 kHz;
frequency 8 MHz;
multilevel delta-sigma modulation;
portable applications;
switching loss;
total harmonic distortion;
上一页
1
2
3
下一页
意见反馈
回到顶部
回到首页