掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Test Conference
International Test Conference
召开年:
2019
召开地:
Washington(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
3426
条结果
1.
An Overview of the International Verification and Security Workshop (IVSW)
机译:
国际核查和安全讲习班(IVSW)概述
作者:
Magdy Abadir
;
Sohrab Aftabjahani
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
integrated circuit design;
security;
system-on-chip;
2.
An Overview of the International Microprocessor/ SoC Test, Security and Validation (MTV)Workshop
机译:
国际微处理器/ SoC测试,安全性和验证(MTV)研讨会概述
作者:
Magdy Abadir
;
Sohrab Aftabjahani
会议名称:
《International Test Conference》
|
2019年
关键词:
Microprocessor;
SoC;
test;
verification;
security;
workshop;
3.
Machine Learning-Based Automatic Generation of eFuse Configuration in NAND Flash Chip
机译:
基于机器学习的NAND闪存芯片中eFuse配置的自动生成
作者:
Jisuk Kim
;
Jinyub Lee
;
Sungjoo Yoo
会议名称:
《International Test Conference》
|
2019年
关键词:
NAND Flash;
eFuse;
Chip Optimization;
Machine Learning;
VAE;
Genetic Algorithm;
4.
Security Compliance Analysis of Reconfigurable Scan Networks
机译:
可重新配置扫描网络的安全合规性分析
作者:
Natalia Lylina
;
Ahmed Atteya
;
Pascal Raiola
;
Matthias Sauer
;
Bernd Becker
;
Hans-Joachim Wunderlich
会议名称:
《International Test Conference》
|
2019年
关键词:
cryptography;
formal verification;
matrix algebra;
reachability analysis;
5.
Time-Slicing Soft Error Resilience in Microprocessors for Reliable and Energy-Efficient Execution
机译:
微处理器中的时间分片软错误复原能力,可实现可靠且节能的执行
作者:
Yi He
;
Yanjing Li
会议名称:
《International Test Conference》
|
2019年
关键词:
microprocessor chips;
power aware computing;
radiation hardening (electronics);
6.
An Adaptive Approach to Minimize System Level Tests Targeting Low Voltage DVFS Failures
机译:
一种针对低压DVFS故障的最小化系统级测试的自适应方法
作者:
Adit D. Singh
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
integrated circuit reliability;
integrated circuit testing;
learning (artificial intelligence);
low-power electronics;
SPICE;
system-on-chip;
7.
Breaking Analog Locking Techniques via Satisfiability Modulo Theories
机译:
通过满意度模理论突破模拟锁定技术
作者:
N. G. Jayasankaran
;
A. Sanabria Borbon
;
A. Abuellil
;
E. Sánchez-Sinencio
;
J. Hu
;
J. Rajendran
会议名称:
《International Test Conference》
|
2019年
关键词:
analogue integrated circuits;
Boolean functions;
computability;
security;
8.
Improving Test Chip Design Efficiency via Machine Learning
机译:
通过机器学习提高测试芯片设计效率
作者:
Zeye Liu
;
Qicheng Huang
;
Chenlei Fang
;
R. D. Blanton
会议名称:
《International Test Conference》
|
2019年
9.
Efficient Analog Defect Simulation
机译:
高效的模拟缺陷仿真
作者:
Stephen Sunter
会议名称:
《International Test Conference》
|
2019年
关键词:
benchmark;
design for test;
analog fault model;
10.
Safety Design of a Convolutional Neural Network Accelerator with Error Localization and Correction
机译:
具有误差定位和纠错功能的卷积神经网络加速器的安全设计
作者:
Zheng Xu
;
Jacob Abraham
会议名称:
《International Test Conference》
|
2019年
11.
Device-Aware Test: A New Test Approach Towards DPPB Level
机译:
设备感知测试:DPPB级别的新测试方法
作者:
Moritz Fieback
;
Lizhou Wu
;
Guilherme Cardoso Medeiros
;
Hassen Aziza
;
Siddharth Rao
;
Erik Jan Marinissen
;
Mottaqiallah Taouil
;
Said Hamdioui
会议名称:
《International Test Conference》
|
2019年
关键词:
fault diagnosis;
fault simulation;
integrated circuit testing;
magnetic tunnelling;
MRAM devices;
random-access storage;
12.
Armenia: Communicating to World Community in Electronic Test and Design
机译:
亚美尼亚:在电子测试和设计方面与世界社区进行沟通
作者:
Samvel Shoukourian
;
Yuri Shoukourian
;
Vladimir Sahakyan
会议名称:
《International Test Conference》
|
2019年
关键词:
electronics industry;
geochronology;
history;
13.
Characterization of Library Cells for Open-circuit Defect Exposure: A Systematic Methodology
机译:
开路缺陷暴露的库单元表征:一种系统方法
作者:
Sujay Pandey
;
Sanya Gupta
;
Madhu Sudhan L.
;
Suriya Natarajan
;
Arani Sinha
;
Abhijit Chatterjee
会议名称:
《International Test Conference》
|
2019年
关键词:
Delay testing;
Multi-pattern test generation;
Fault model;
Open defects;
Cell-Aware Test;
14.
DARS: An EDA Framework for Reliability and Functional Safety Management of System-on-Chips
机译:
DARS:用于芯片系统可靠性和功能安全管理的EDA框架
作者:
Ahmed M. Y. Ibrahim
;
Hans G. Kerkhoff
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE 1687;
IJTAG;
embedded instruments;
dependability;
reliability;
functional safety;
15.
FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging
机译:
FAE:用于验证和调试的RTL设计的基于自动编码器的故障分箱
作者:
Cheng-Hsien Shen
;
Aaron C.-W. Liang
;
Charles C.-H. Hsu
;
Charles H.-P. Wen
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
learning (artificial intelligence);
program debugging;
16.
Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation
机译:
通过功能测试序列的压缩来压缩功能宽带测试集,而无需进行顺序故障仿真
作者:
Irith Pomeranz
会议名称:
《International Test Conference》
|
2019年
17.
FPGA Bitstream Security: A Day in the Life
机译:
FPGA比特流安全性:生命中的一天
作者:
Adam Duncan
;
Fahim Rahman
;
Andrew Lukefahr
;
Farimah Farahmandi
;
Mark Tehranipoor
会议名称:
《International Test Conference》
|
2019年
关键词:
field programmable gate arrays;
industrial property;
reconfigurable architectures;
security;
system-on-chip;
18.
Is Backside the New Backdoor in Modern SoCs?: Invited Paper
机译:
背面是现代SoC中的新后门吗?
作者:
Nidish Vashistha
;
M Tanjidur Rahman
;
Olivia P. Paradis
;
Navid Asadizanjani
会议名称:
《International Test Conference》
|
2019年
关键词:
integrated circuits;
security;
system-on-chip;
19.
Fault-Tolerant Neuromorphic Computing Systems
机译:
容错神经形态计算系统
作者:
Arjun Chaudhuri
;
Mengyun Liu
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
20.
Reliability Modeling and Mitigation for Embedded Memories
机译:
嵌入式内存的可靠性建模和缓解
作者:
Innocent Okwudili Agbo
;
Mottaqiallah Taouil
;
Said Hamdioui
会议名称:
《International Test Conference》
|
2019年
21.
SoC Security Verification using Property Checking
机译:
使用属性检查的SoC安全验证
作者:
Nusrat Farzana
;
Fahim Rahman
;
Mark Tehranipoor
;
Farimah Farahmandi
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
security;
service-oriented architecture;
system-on-chip;
22.
Memory FIT Rate Mitigation Technique for Automotive SoCs
机译:
汽车SoC的存储器FIT速率降低技术
作者:
Gabriele Boschi
;
Donato Luongo
;
Duccio Lazzarotti
;
Hanna Shaheen
;
Hayk Grigoryan
;
Gurgen Harutyunyan
;
Samvel Shoukourian
;
Yervant Zorian
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive;
ECC;
FIT rate;
reliability;
vulnerability factor;
23.
An Efficient Supervised Learning Method to Predict Power Supply Noise During At-speed Test
机译:
一种有效的监督学习方法,可预测全速测试期间的电源噪声
作者:
Seyed Nima Mozaffari
;
Bonita Bhaskaran
;
Kaushik Narayanun
;
Ayub Abdollahian
;
Vinod Pagalone
;
Shantanu Sarangi
;
Jonathon E. Colburn
会议名称:
《International Test Conference》
|
2019年
关键词:
Deep Learning;
At-speed Capture;
Simultaneous Switching Noise;
Artificial Intelligence;
IR Drop Prediction;
24.
Methodology of Generating Timing-Slack-Based Cell-Aware Tests
机译:
生成基于时序松弛的单元感知测试的方法
作者:
Yu-Teng Nien
;
Kai-Chiang Wu
;
Dong-Zhen Lee
;
Ying-Yen Chen
;
Po-Lin Chen
;
Mason Chern
;
Jih-Nung Lee
;
Shu-Yi Kao
;
Mango Chia-Tso Chao
会议名称:
《International Test Conference》
|
2019年
25.
Advanced Burn-In - An Optimized Product Stress and Test Flow for Automotive Microcontrollers
机译:
高级预烧-汽车微控制器的优化产品应力和测试流程
作者:
Chen He
会议名称:
《International Test Conference》
|
2019年
关键词:
failure analysis;
integrated circuit design;
integrated circuit reliability;
integrated circuit yield;
microcontrollers;
26.
Fault Recovery in Micro-Electrode-Dot-Array Digital Microfluidic Biochips Using an IJTAG NetworkBehaviors
机译:
使用IJTAG网络行为的微电极点阵数字微流控生物芯片中的故障恢复
作者:
Zhanwei Zhong
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
27.
Multi-cell characterization: Developing robust cells and abstraction for Rapid Single Flux Quantum (RSFQ) Logic
机译:
多单元表征:为快速单通量量子(RSFQ)逻辑开发健壮的单元和抽象
作者:
Fangzhou Wang
;
Sandeep Gupta
会议名称:
《International Test Conference》
|
2019年
28.
Subtle Anomaly Detection of Microscopic Probes using Deep learning based Image Completion
机译:
基于深度学习的图像完成技术对显微镜探针的微妙异常检测
作者:
Kosuke Ikeda
;
Keith Schaub
;
Ira Leventhal
;
Yiorgos Makris
;
Constantinos Xanthopoulos
;
Deepika Neethirajan
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic optical inspection;
electronic engineering computing;
learning (artificial intelligence);
manufacturing processes;
neural nets;
production engineering computing;
semiconductor device manufacture;
29.
Efficiency Measurement Method for Fully Integrated Voltage Regulators used in 4
机译:
4中使用的全集成稳压器的效率测量方法
作者:
Gerhard Schrom
;
Michael J. Hill
;
Sarath Makala
;
Ravi Sankar Vunnam
;
Arun Krishnamoorthy
;
Ryan Ferguson
会议名称:
《International Test Conference》
|
2019年
关键词:
Integrated Voltage Regulators;
Buck Converter;
Power Efficiency;
VR Characterization;
Power Loss Modeling;
30.
The Challenges of Implementing an MBIST Interface: A Practical Application
机译:
实施MBIST接口的挑战:实际应用
作者:
Teresa McLaurin
;
Rob Knoth
会议名称:
《International Test Conference》
|
2019年
关键词:
built-in self test;
formal specification;
integrated circuit testing;
program testing;
31.
High Quality Test Methodology for Highly Reliable Devices
机译:
高度可靠的设备的高质量测试方法
作者:
Hao Chen
;
Mincent Lee
;
Liang-Yen Chen
;
Min-Jer Wang
会议名称:
《International Test Conference》
|
2019年
关键词:
Integration fan-out wafer level chip scale package (InFO WLCSP);
IPD;
image-processing;
overkill;
underkill;
package on package (PoP);
dynamic random access memory (DRAM);
redistribution layer (RDL);
partition design;
32.
Test Time and Area Optimized BrST Scheme for Automotive ICs
机译:
汽车IC的测试时间和面积优化BrST方案
作者:
Nilanjan Mukherjee
;
Daniel Tille
;
Mahendar Sapati
;
Yingdi Liu
;
Jeffrey Mayer
;
Sylwester Milewski
;
Elham Moghaddam
;
Janusz Rajski
;
Jędrzej Solecki
;
Jerzy Tyszer
会议名称:
《International Test Conference》
|
2019年
关键词:
built-in self-test;
embedded-test;
functional safety;
scan-based testing;
test application time;
test points;
33.
Programmable Daisychaining of Microelectrodes for IP Protection in MEDA Biochips
机译:
用于MEDA生物芯片IP保护的微电极的可编程菊花链连接
作者:
Tung-Che Liang
;
Krishnendu Chakrabarty
;
Ramesh Karri
会议名称:
《International Test Conference》
|
2019年
34.
Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications
机译:
针对汽车应用的统一压缩和LBIST的优化物理DFT综合
作者:
Christos Papameletis
;
Vivek Chickermane
;
Brian Foutz
;
Sarthak Singhal
;
Krishna Chakravadhanula
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
automotive electronics;
built-in self test;
design for testability;
logic testing;
35.
Built-in self-test and self-calibration for analog and mixed signal circuits
机译:
内置的用于模拟和混合信号电路的自检和自校准
作者:
Tao Chen
;
Degang Chen
会议名称:
《International Test Conference》
|
2019年
36.
Effectively Using Machine Learning to Expedite System Level Test Failure Debug
机译:
有效地使用机器学习来加速系统级测试失败调试
作者:
Luis D. Rojas
;
Kevin Hess
;
Christina Carter-Brown
会议名称:
《International Test Conference》
|
2019年
关键词:
learning (artificial intelligence);
pattern classification;
program debugging;
support vector machines;
37.
Hardware Fault Tolerance for Binary RRAM Crossbars
机译:
二进制RRAM交叉开关的硬件容错
作者:
Arjun Chaudhuri
;
Bonan Yan
;
Yiran Chen
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
38.
Characterization of Locked Combinational Circuits via ATPG
机译:
通过ATPG表征锁定的组合电路
作者:
Danielle Duvalsaint
;
Xiaoxiao Jin
;
Benjamin Niewenhuis
;
R. D. Blanton
会议名称:
《International Test Conference》
|
2019年
关键词:
Hardware Security;
Logic Locking;
Obfuscation;
39.
A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips
机译:
用于多核汽车芯片上系统的在线自检例程的分散式调度程序
作者:
Andrea Floridia
;
Davide Piumatti
;
Annachiara Ruospo
;
Ernesto Sanchez
;
Sergio De Luca
;
Rosario Martorana
会议名称:
《International Test Conference》
|
2019年
40.
A Framework for Design of Self-Repairing Digital Systems
机译:
自修复数字系统设计框架
作者:
Jingchi Yang
;
David C. Keezer
会议名称:
《International Test Conference》
|
2019年
41.
A New Test Method for the Large Current Magnetic Sensors
机译:
大电流磁传感器的新测试方法
作者:
Toshiyuki Omuro
;
Shigeo Nakamura Surname
;
Takashi Kimura
;
Toshiyuki Omuro
会议名称:
《International Test Conference》
|
2019年
关键词:
current sensor;
magnetic sensor;
sensor test;
42.
Simulation-based Equivalence Checking between IEEE 1687 ICL and RTL
机译:
IEEE 1687 ICL和RTL之间基于仿真的等效性检查
作者:
Aleksa Damljanovic
;
Artur Jutman
;
Michele Portolan
;
Ernesto Sanchez
;
Giovanni Squillero
;
Anton Tsertov
会议名称:
《International Test Conference》
|
2019年
关键词:
Simulation;
RTL;
ICL;
Code-coverage;
Pattern Generation;
Reconfigurable Scan Networks;
IEEE 1687;
43.
Resiliency of automotive object detection networks on GPU architectures
机译:
汽车物体检测网络在GPU架构上的弹性
作者:
Atieh Lotfi
;
Saurabh Hukerikar
;
Keshav Balasubramanian
;
Paul Racunas
;
Nirmal Saxena
;
Richard Bramley
;
Yanxiang Huang
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive engineering;
fault tolerant computing;
graphics processing units;
inference mechanisms;
ISO standards;
neural nets;
object detection;
road safety;
safety-critical software;
44.
Structural Test and Functional Test for Digital Acoustofluidic Biochips
机译:
数字声流生物芯片的结构测试和功能测试
作者:
Zhanwei Zhong
;
Haodong Zhu
;
Peiran Zhang
;
Tony Jun Huang
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
45.
Knowledge Transfer in Board-Level Functional Fault Identification using Domain Adaptation
机译:
使用域自适应的板级功能故障识别中的知识转移
作者:
Mengyun Liu
;
Xin Li
;
Krishnendu Chakrabarty
;
Xinli Gu
会议名称:
《International Test Conference》
|
2019年
关键词:
electronic engineering computing;
fault diagnosis;
integrated circuit reliability;
learning (artificial intelligence);
46.
A Jitter Injection Module for Production Test of 52-Gbps PAM4 Signal Interfaces
机译:
抖动注入模块,用于52 Gbps PAM4信号接口的生产测试
作者:
Kiyotaka Ichiyama
;
Takashi Kusaka
;
Masahiro Ishida
会议名称:
《International Test Conference》
|
2019年
关键词:
high-speed interface testing;
pulse amplitude modulation;
jitter injection;
production testing;
ATE;
47.
Testing Computation-in-Memory Architectures Based on Emerging Memories
机译:
测试基于新兴内存的内存计算架构
作者:
Said Hamdioui
;
Moritz Fieback
;
Surya Nagarajan
;
Mottaqiallah Taouil
会议名称:
《International Test Conference》
|
2019年
关键词:
CMOS integrated circuits;
electronic engineering computing;
memory architecture;
memristors;
48.
Machine Learning-Based DFT Recommendation System for ATPG QOR
机译:
基于机器学习的ATPG QOR DFT推荐系统
作者:
Apik Zorian
;
Basim Shanyour
;
Milir Vaseekar
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
design for testability;
integrated circuit testing;
learning (artificial intelligence);
logic testing;
49.
Asian Test Symposium - Past, Present and Future -
机译:
亚洲测试研讨会-过去,现在和未来-
作者:
Michiko Inoue
;
Xiaowei Li
;
Cheng-Wen Wu
会议名称:
《International Test Conference》
|
2019年
50.
China Test Conference (CTC) - Extending the Global Test Forum to China
机译:
中国考试大会(CTC)-将全球考试论坛扩展到中国
作者:
Huawei Li
;
Xiaowei Li
;
Yinhe Han
会议名称:
《International Test Conference》
|
2019年
关键词:
CTC;
ITC;
Global Test Forum;
Test Conference;
51.
International Symposium on Design and Diagnostics of Electronic Circuits and Systems
机译:
电子电路与系统设计与诊断国际研讨会
作者:
Zoran Stamenkovic
;
Alberto Bosio
;
Gyorgy Cserey
;
Ondrej Novak
;
Witold Pleskacz
;
Lukas Sekanina
;
Andreas Steininger
;
Goran Stojanovic
;
Viera Stopjakova
会议名称:
《International Test Conference》
|
2019年
关键词:
symposium;
DDECS;
electronics;
circuits;
systems;
52.
IEEE Std. P1687.1: Translator and Protocol
机译:
IEEE标准P1687.1:转换器和协议
作者:
Erik Larsson
;
Prathamesh Murali
;
Gani Kumisbek
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE Std. P1687.1;
IEEE Std. 1687;
IEEE Std. 1149.1;
UART;
embedded instruments;
53.
Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses
机译:
压缩测试响应的变化感知小延迟故障诊断
作者:
Stefan Holst
;
Eric Schneider
;
Michael A. Kochte
;
Xiaoqing Wen
;
Hans-Joachim Wunderlich
会议名称:
《International Test Conference》
|
2019年
关键词:
small delay defect;
logic diagnosis;
test compression;
process variation;
GP-GPU;
54.
Application of Cell-Aware Test on an Advanced 3nm CMOS Technology Library
机译:
单元感知测试在高级3nm CMOS技术库中的应用
作者:
Zhan Gao
;
Santosh Malagi
;
Min-Chun Hu
;
Joe Swenton
;
Rogier Baert
;
Jos Huisken
;
Bilal Chehab
;
Kees Goossen
;
Erik Jan Marinissen
会议名称:
《International Test Conference》
|
2019年
55.
Applications of Hierarchical Test
机译:
层次测试的应用
作者:
Kelly Ockunzzi
;
Richard Grupp
;
Brion Keller
;
Mark Taylor
;
Sreekanth Pai
;
Greeshma Jayakumar
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
circuit CAD;
integrated circuit design;
integrated circuit testing;
logic testing;
56.
Deploying A Machine Learning Solution As A Surrogate
机译:
部署机器学习解决方案作为替代
作者:
Chuanhe Shan
;
Ahmed Wahba
;
Li-C. Wang
;
Nik Sumikawa
会议名称:
《International Test Conference》
|
2019年
关键词:
learning (artificial intelligence);
57.
VIPER: A Versatile and Intuitive Pattern GenERator for Early Design Space Exploration
机译:
VIPER:用于早期设计空间探索的多功能,直观的模式生成器
作者:
Gaurav Rajavendra Reddy
;
Mohammad-Mahdi Bidmeshki
;
Yiorgos Makris
会议名称:
《International Test Conference》
|
2019年
58.
Recycled Analog and Mixed Signal Chip Detection at Zero Cost Using LDO Degradation
机译:
利用LDO降级以零成本实现循环模拟和混合信号芯片检测
作者:
Sreeja Chowdhury
;
Fatemeh Ganji
;
Troy Bryant
;
Nima Maghari
;
Domenic Forte
会议名称:
《International Test Conference》
|
2019年
59.
Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques
机译:
使用Optimization-SAT技术通过插入测试点来实现完全故障覆盖
作者:
Stephan Eggersglüß
会议名称:
《International Test Conference》
|
2019年
60.
Overall Strategy for Online Clock System Checking Supporting Heterogeneous Integration
机译:
在线时钟系统检查支持异构集成的总体策略
作者:
Wei Chu
;
Shi-Yu Huang
会议名称:
《International Test Conference》
|
2019年
关键词:
safety checking;
clock delay fault;
safety hazard;
pulse-vanishing test;
delay test;
phase-error monitoring;
61.
Testing of Neuromorphic Circuits: Structural vs Functional
机译:
神经形态电路的测试:结构与功能
作者:
Anteneh Gebregiorgis
;
Mehdi B. Tahoori
会议名称:
《International Test Conference》
|
2019年
关键词:
integrated circuit testing;
neuromorphic engineering;
62.
IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR)
机译:
IEEE国际自动化,质量和测试,机器人技术会议(AQTR)
作者:
Szilárd Enyedi
;
Liviu Miclea
会议名称:
《International Test Conference》
|
2019年
关键词:
Internet of Things;
program testing;
robots;
63.
Applying Vstress and defect activation coverage to produce zero-defect mixed-signal automotive ICs
机译:
应用Vstress和缺陷激活覆盖范围来生产零缺陷混合信号汽车IC
作者:
Wim Dobbelaere
;
Frederik Colle
;
Anthony Coyette
;
Ronny Vanhooren
;
Nektar Xama
;
Jhon Gomez
;
Georges Gielen
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive electronics;
mixed analogue-digital integrated circuits;
64.
A Hybrid Space Compactor for Adaptive X-Handling
机译:
用于自适应X处理的混合空间压缩器
作者:
Mohammad Urf Maaz
;
Alexander Sprenger
;
Sybille Hellebrand
会议名称:
《International Test Conference》
|
2019年
关键词:
Faster-than-at-speed test;
BIST;
DFT;
Test response compaction;
Stochastic compactor;
X-handling;
65.
Virtual Memory Structures Facilitating Memory BIST Insertion In Complex SoCs
机译:
虚拟内存结构有助于在复杂SoC中插入内存BIST
作者:
Tal Kogan
;
Yehonatan Abotbol
会议名称:
《International Test Conference》
|
2019年
66.
TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning
机译:
TestDNA:用于诊断和良率学习的新型晶圆缺陷特征
作者:
Andrew Yi-Ann Huang
;
Katherine Shu-Min Li
;
Cheng-Yen Tsai
;
Ken Chau-Cheung Cheng
;
Sying-Jyan Wang
;
Xu-Hao Jiang
;
Leon Chou
;
Chen-Shiun Lee
会议名称:
《International Test Conference》
|
2019年
关键词:
wafer test;
wafer defect map;
wafer defect pattern;
test probe;
test probing track;
test probing order;
wafer test syndrome;
yield learning;
67.
Iterative Test Generation for Gate-Exhaustive Faults to Cover the Sites of Undetectable Target Faults
机译:
门穷竭性故障的迭代测试生成以覆盖无法检测到的目标故障的站点
作者:
Irith Pomeranz
会议名称:
《International Test Conference》
|
2019年
68.
On Freedom from Interference in Mixed-Criticality Systems: A Causal Learning Approach
机译:
论混合临界系统中的干涉自由:因果学习方法
作者:
Fei Su
;
Prashant Goteti
;
Min Zhang
会议名称:
《International Test Conference》
|
2019年
关键词:
Freedom from Interference;
Mixed-Criticality;
Anomaly;
Functional Safety;
Causal Learning;
69.
IEEE European Test Symposium (ETS)
机译:
IEEE欧洲测试研讨会(ETS)
作者:
Stephan Eggersglüß
;
Said Hamdioui
;
Artur Jutman
;
Maria K. Michael
;
Jaan Raik
;
Matteo Sonza Reorda
;
Mehdi Tahoori
;
Elena-Ioana Vatajelu
会议名称:
《International Test Conference》
|
2019年
70.
17th IEEE East-West Design and Test Symposium
机译:
第17届IEEE东西方设计与测试研讨会
作者:
Yervant Zorian
;
Vladimir Hahanov
;
Svetlana Chumachenko
;
Eugenia Litvinova
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE;
Design and Test;
Computer Engineering;
Conference Computing;
Online Reviewing;
71.
IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future
机译:
IEEE面向硬件的安全性和信任(HOST)国际研讨会:过去,现在和未来
作者:
Domenic Forte
;
Swarup Bhunia
;
Ramesh Karri
;
Jim Plusquellic
;
Mark Tehranipoor
会议名称:
《International Test Conference》
|
2019年
72.
International Test Conference in Asia (ITC-Asia) - Bridging ITC and Test Community in Asia
机译:
亚洲国际测试大会(ITC-Asia)-弥合ITC和亚洲测试社区的桥梁
作者:
Kuen-Jong Lee
;
Shi-Yu Huang
;
Huawei Li
;
Tomoo Inoue
;
Yervant Zorian
会议名称:
《International Test Conference》
|
2019年
关键词:
ITC-Asia;
ITC;
Test Conferences;
Test Technology;
73.
Armenia: Communicating to World Community in Electronic Test and Design
机译:
亚美尼亚:在电子测试和设计中沟通到世界界
作者:
Samvel Shoukourian
;
Yuri Shoukourian
;
Vladimir Sahakyan
会议名称:
《International Test Conference》
|
2019年
关键词:
electronics industry;
geochronology;
history;
74.
A New Test Method for the Large Current Magnetic Sensors
机译:
大电流磁传感器的新测试方法
作者:
Shigeo Nakamura Surname
;
Takashi Kimura
;
Toshiyuki Omuro
会议名称:
《International Test Conference》
|
2019年
关键词:
current sensor;
magnetic sensor;
sensor test;
75.
Iterative Test Generation for Gate-Exhaustive Faults to Cover the Sites of Undetectable Target Faults
机译:
用于覆盖未检测到的目标断层的轨道围绕故障的迭代测试生成
作者:
Irith Pomeranz
会议名称:
《International Test Conference》
|
2019年
76.
VIPER: A Versatile and Intuitive Pattern GenERator for Early Design Space Exploration
机译:
VIPER:用于早期设计空间探索的多功能和直观的图案发电机
作者:
Gaurav Rajavendra Reddy
;
Mohammad-Mahdi Bidmeshki
;
Yiorgos Makris
会议名称:
《International Test Conference》
|
2019年
77.
Machine Learning-Based DFT Recommendation System for ATPG QOR
机译:
基于机器学习的ATPG Qor的DFT推荐系统
作者:
Apik Zorian
;
Basim Shanyour
;
Milir Vaseekar
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
design for testability;
integrated circuit testing;
learning (artificial intelligence);
logic testing;
78.
Built-in self-test and self-calibration for analog and mixed signal circuits
机译:
模拟和混合信号电路的内置自检和自校准
作者:
Tao Chen
;
Degang Chen
会议名称:
《International Test Conference》
|
2019年
79.
A Hybrid Space Compactor for Adaptive X-Handling
机译:
用于自适应X-Suctipling的混合空间压实机
作者:
Mohammad Urf Maaz
;
Alexander Sprenger
;
Sybille Hellebrand
会议名称:
《International Test Conference》
|
2019年
关键词:
Faster-than-at-speed test;
BIST;
DFT;
Test response compaction;
Stochastic compactor;
X-handling;
80.
A Jitter Injection Module for Production Test of 52-Gbps PAM4 Signal Interfaces
机译:
用于生产测试的抖动注入模块52-Gbps PAM4信号接口
作者:
Kiyotaka Ichiyama
;
Takashi Kusaka
;
Masahiro Ishida
会议名称:
《International Test Conference》
|
2019年
关键词:
high-speed interface testing;
pulse amplitude modulation;
jitter injection;
production testing;
ATE;
81.
Resiliency of automotive object detection networks on GPU architectures
机译:
GPU架构上汽车对象检测网络的弹性
作者:
Atieh Lotfi
;
Saurabh Hukerikar
;
Keshav Balasubramanian
;
Paul Racunas
;
Nirmal Saxena
;
Richard Bramley
;
Yanxiang Huang
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive engineering;
fault tolerant computing;
graphics processing units;
inference mechanisms;
ISO standards;
neural nets;
object detection;
road safety;
safety-critical software;
82.
Machine Learning-Based Automatic Generation of eFuse Configuration in NAND Flash Chip
机译:
基于机器学习的自动生成NAND闪存芯片中的eFuse配置
作者:
Jisuk Kim
;
Jinyub Lee
;
Sungjoo Yoo
会议名称:
《International Test Conference》
|
2019年
关键词:
NAND Flash;
eFuse;
Chip Optimization;
Machine Learning;
VAE;
Genetic Algorithm;
83.
Security Compliance Analysis of Reconfigurable Scan Networks
机译:
可重构扫描网络的安全合规性分析
作者:
Natalia Lylina
;
Ahmed Atteya
;
Pascal Raiola
;
Matthias Sauer
;
Bernd Becker
;
Hans-Joachim Wunderlich
会议名称:
《International Test Conference》
|
2019年
关键词:
cryptography;
formal verification;
matrix algebra;
reachability analysis;
84.
FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging
机译:
FAE:RTL设计的基于AutoEncoder的故障串联进行验证和调试
作者:
Cheng-Hsien Shen
;
Aaron C.-W. Liang
;
Charles C.-H. Hsu
;
Charles H.-P. Wen
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
learning (artificial intelligence);
program debugging;
85.
A Framework for Design of Self-Repairing Digital Systems
机译:
自修复数字系统设计框架
作者:
Jingchi Yang
;
David C. Keezer
会议名称:
《International Test Conference》
|
2019年
86.
Programmable Daisychaining of Microelectrodes for IP Protection in MEDA Biochips
机译:
在Meda Biochips中的IP保护微电极的可编程DaiSCONALING
作者:
Tung-Che Liang
;
Krishnendu Chakrabarty
;
Ramesh Karri
会议名称:
《International Test Conference》
|
2019年
87.
DARS: An EDA Framework for Reliability and Functional Safety Management of System-on-Chips
机译:
DARS:EDA芯片可靠性和功能安全管理的EDA框架
作者:
Ahmed M. Y. Ibrahim
;
Hans G. Kerkhoff
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE 1687;
IJTAG;
embedded instruments;
dependability;
reliability;
functional safety;
88.
Time-Slicing Soft Error Resilience in Microprocessors for Reliable and Energy-Efficient Execution
机译:
微处理器中的时间切片软误差弹性,以获得可靠和节能的执行
作者:
Yi He
;
Yanjing Li
会议名称:
《International Test Conference》
|
2019年
关键词:
microprocessor chips;
power aware computing;
radiation hardening (electronics);
89.
Test Time and Area Optimized BrST Scheme for Automotive ICs
机译:
汽车ICS的测试时间和面积优化的BRST方案
作者:
Nilanjan Mukherjee
;
Daniel Tille
;
Mahendar Sapati
;
Yingdi Liu
;
Jeffrey Mayer
;
Sylwester Milewski
;
Elham Moghaddam
;
Janusz Rajski
;
J?drzej Solecki
;
Jerzy Tyszer
会议名称:
《International Test Conference》
|
2019年
关键词:
built-in self-test;
embedded-test;
functional safety;
scan-based testing;
test application time;
test points;
90.
An Efficient Supervised Learning Method to Predict Power Supply Noise During At-speed Test
机译:
一种高效的监督学习方法,可在速度测试期间预测电源噪声
作者:
Seyed Nima Mozaffari
;
Bonita Bhaskaran
;
Kaushik Narayanun
;
Ayub Abdollahian
;
Vinod Pagalone
;
Shantanu Sarangi
;
Jonathon E. Colburn
会议名称:
《International Test Conference》
|
2019年
关键词:
Deep Learning;
At-speed Capture;
Simultaneous Switching Noise;
Artificial Intelligence;
IR Drop Prediction;
91.
Reliability Modeling and Mitigation for Embedded Memories
机译:
嵌入式记忆的可靠性建模与缓解
作者:
Innocent Okwudili Agbo
;
Mottaqiallah Taouil
;
Said Hamdioui
会议名称:
《International Test Conference》
|
2019年
92.
Characterization of Library Cells for Open-circuit Defect Exposure: A Systematic Methodology
机译:
开路缺陷曝光的图书馆细胞的表征:系统方法论
作者:
Sujay Pandey
;
Sanya Gupta
;
Madhu Sudhan L.
;
Suriya Natarajan
;
Arani Sinha
;
Abhijit Chatterjee
会议名称:
《International Test Conference》
|
2019年
关键词:
Delay testing;
Multi-pattern test generation;
Fault model;
Open defects;
Cell-Aware Test;
93.
Overall Strategy for Online Clock System Checking Supporting Heterogeneous Integration
机译:
在线时钟系统检查支持异构整合的总体策略
作者:
Wei Chu
;
Shi-Yu Huang
会议名称:
《International Test Conference》
|
2019年
关键词:
safety checking;
clock delay fault;
safety hazard;
pulse-vanishing test;
delay test;
phase-error monitoring;
94.
A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips
机译:
多核汽车系统在线自检程序的分散调度程序 - 芯片
作者:
Andrea Floridia
;
Davide Piumatti
;
Annachiara Ruospo
;
Ernesto Sanchez
;
Sergio De Luca
;
Rosario Martorana
会议名称:
《International Test Conference》
|
2019年
95.
Multi-cell characterization: Developing robust cells and abstraction for Rapid Single Flux Quantum (RSFQ) Logic
机译:
多细胞特性:开发强大的单元格和快速单通量量子(RSFQ)逻辑的抽象
作者:
Fangzhou Wang
;
Sandeep Gupta
会议名称:
《International Test Conference》
|
2019年
96.
Structural Test and Functional Test for Digital Acoustofluidic Biochips
机译:
数字声毒流体生物芯片的结构测试和功能测试
作者:
Zhanwei Zhong
;
Haodong Zhu
;
Peiran Zhang
;
Tony Jun Huang
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
97.
An Adaptive Approach to Minimize System Level Tests Targeting Low Voltage DVFS Failures
机译:
最小化靶向低压DVFS故障的系统级测试的自适应方法
作者:
Adit D. Singh
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
integrated circuit reliability;
integrated circuit testing;
learning (artificial intelligence);
low-power electronics;
SPICE;
system-on-chip;
98.
Hardware Fault Tolerance for Binary RRAM Crossbars
机译:
二进制RRAM交叉栏的硬件容错
作者:
Arjun Chaudhuri
;
Bonan Yan
;
Yiran Chen
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
99.
Testing Computation-in-Memory Architectures Based on Emerging Memories
机译:
基于新出现的存储器测试内存计算内存架构
作者:
Said Hamdioui
;
Moritz Fieback
;
Surya Nagarajan
;
Mottaqiallah Taouil
会议名称:
《International Test Conference》
|
2019年
关键词:
CMOS integrated circuits;
electronic engineering computing;
memory architecture;
memristors;
100.
FPGA Bitstream Security: A Day in the Life
机译:
FPGA比特流安全:生活中的一天
作者:
Adam Duncan
;
Fahim Rahman
;
Andrew Lukefahr
;
Farimah Farahmandi
;
Mark Tehranipoor
会议名称:
《International Test Conference》
|
2019年
关键词:
field programmable gate arrays;
industrial property;
reconfigurable architectures;
security;
system-on-chip;
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页