掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Low Power Electronics and Design
International Symposium on Low Power Electronics and Design
召开年:
2013
召开地:
Beijing(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Evaluating Centrality Measures in Large Call Graphs
机译:
评估大呼叫图中的中心度措施
作者:
C. Kiss
;
A. Scholz
;
M. Bichler
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
computer networks;
customer relationship management;
data mining;
statistics;
advertising;
call detail records;
campaign management;
centrality measures;
customer relationship management;
customers interaction;
data mining;
large call graphs;
network topologies;
soci;
2.
Zone Services - An Approach for Location-Based Data Collection
机译:
区域服务 - 基于位置的数据收集方法
作者:
D. Weiss
;
I. Kramer
;
G. Treu
;
A. Kupper
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
broadcasting;
data privacy;
mobile computing;
GPS;
broadcast technology;
location-based data collection;
location-based services;
privacy preferences;
terminal-based positioning;
zone services;
3.
Wearable computing - a catalyst for business and entertainment
机译:
可穿戴计算 - 商业和娱乐催化剂
作者:
Chandra Narayanaswami
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
middleware;
mobile computing;
prototypes;
wearable computers;
MetaPad;
Personal Mobile Hub;
SoulPad;
VisionPad;
WatchPad;
WearableData;
business catalyst;
entertainment catalyst;
heterogeneity;
middleware;
portable device;
prototypes;
wearable computing;
wearable device;
4.
Interference Problem between Web Services Caused by Data Dependencies
机译:
数据依赖性引起的Web服务之间的干扰问题
作者:
Teng Teng
;
Gang Huang
;
Hong Mei
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
Java;
Web services;
middleware;
Java 2 platform enterprise edition;
Web services;
data dependencies;
enterprise JavaBeans;
interference problem;
legacy systems;
middleware-based approach;
5.
Moderating the Price Sensitivity of Online Customers
机译:
更适合在线客户的价格敏感性
作者:
Hee-Woong Kim
;
D.E.S. Toh
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
Internet;
electronic commerce;
pricing;
retailing;
Internet shopping;
online customers;
price sensitivity;
pricing theory;
6.
Transforming Pharmaceutical Marketing Through e-detailing: Case Studies and Recommendations
机译:
通过电子详细改造制药营销:案例研究和建议
作者:
M.Y. Trucco
;
S. Amirkhanova
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
electronic commerce;
marketing data processing;
pharmaceutical industry;
e-commerce;
e-detailing;
e-marketing;
online product detailing;
pharmaceutical marketing;
e-commerce;
e-detailing;
e-marketing;
pharmaceutical marketing;
7.
Service-Oriented Business Performance Management for Real-Time Enterprise
机译:
面向服务的实时企业的业务绩效管理
作者:
Jun-Jang Jeng
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
business data processing;
application integration;
business integration;
business intelligence;
business performance management;
business process modeling;
business service management;
information technology;
middleware;
real-time enterprise;
service-oriented arch;
8.
A Method for Reducing False Hits in Querying Encrypted Databases
机译:
一种减少查询加密数据库中虚假命中的方法
作者:
Yi Tang
;
Jun Yun
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
cryptography;
entropy;
optimisation;
query processing;
search problems;
bucket set entropy;
bucket-based method;
data secrecy;
data security;
database service providers;
encrypted database querying;
false hit reduction;
local search based method;
optimization problem;
9.
SLA Automated Negotiation Manager for Computing Services
机译:
用于计算服务的SLA自动协商管理器
作者:
H. Kaminski
;
M. Perry
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
DP industry;
DP management;
customer satisfaction;
marketing data processing;
automated negotiation manager;
computing service supply industry;
customer satisfaction;
service level agreement;
service level objectives;
10.
Wireless Based Multimedia Messaging System
机译:
基于无线的多媒体消息系统系统
作者:
J.Z. Gao
;
G. Durve
;
S. Alam
;
S. Shim
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
electronic messaging;
information services;
mobile computing;
multimedia communication;
mobile commerce application;
mobile multimedia application;
multimedia messaging service;
wireless information service;
wireless multimedia messaging system;
wireless network;
11.
A Privacy-Aware Service-oriented Platform for Distributed Data Mining
机译:
用于分布式数据挖掘的隐私感知服务方向平台
作者:
Xiaofeng Zhang
;
Ho-fai Wong
;
W.K. Cheung
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
data analysis;
data mining;
data privacy;
data structures;
distributed processing;
pattern clustering;
probability;
customer data privacy;
distributed data clustering;
distributed data mining;
global data analysis;
just-in-time data sharing;
learning from abstractio;
12.
Web Service Discovery and Composition using USDL
机译:
使用USDL的Web服务发现和组合
作者:
S. Kona
;
A. Bansal
;
G. Gupta
;
T.D. Hite
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
Web services;
knowledge representation languages;
ontologies (artificial intelligence);
Universal Service-Semantics Description Language;
Web service composition;
Web service discovery;
13.
An Automatic Method to Extract Data from an Electronic Contract Composed of a Number of Documents in PDF Format
机译:
一种自动从PDF格式组成的电子合同中提取数据的自动方法
作者:
T. Kwok
;
Thao Nguyen
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
contracts;
data mining;
document handling;
electronic commerce;
pattern recognition;
PDF format;
PDF parser;
administrator module;
contract data extraction engine;
contract data tag;
contract document type;
data mining;
electronic contract;
pattern matching;
pattern r;
14.
A Real-Time Yield Management Framework for E-Services
机译:
电子服务的实时产量管理框架
作者:
P. Dube
;
Y. Hayel
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
consumer behaviour;
demand forecasting;
electronic commerce;
market opportunities;
queueing theory;
resource allocation;
customer behavior monitoring;
demand forecasting;
e-services;
market segmentation;
queueing theory;
real-time yield management;
resource allocati;
15.
Global Software Enterprise: A New Software Constructing Architecture
机译:
全球软件企业:建造架构的新软件
作者:
W.T. Tsai
;
Bingnan Xiao
;
R. Paul
;
Qian Huang
;
Yinong Chen
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
formal specification;
software architecture;
software development management;
collaboration specification;
consumer-oriented SOA software;
global software enterprise;
service providers;
service specification;
service-oriented architecture;
software constructing a;
16.
Real Time Business Intelligence for the Adaptive Enterprise
机译:
适应性企业的实时商业智能
作者:
B. Azvine
;
Z. Cui
;
D.D. Nauck
;
B. Majeed
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
business data processing;
competitive intelligence;
data analysis;
adaptive enterprise;
business process management;
data analysis;
data integration;
market trend;
real-time business intelligence;
business process management;
data analysis;
data integration;
real-ti;
17.
Ontology Driven Resource Discovery in Bluetooth Based M-Marketplace
机译:
基于蓝牙的M-Marketplace中的本体驾驶资源发现
作者:
Ruta M.
;
Di Noia T.
;
D Sciascio E.
;
Piscitelli G.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
18.
Syntactical amp;amp; Semantical Web Services Discovery And Composition
机译:
句法&语义Web服务发现和组成
作者:
V. Ramasamy
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
Web services;
semantic Web;
Web service composition;
Web service discovery;
semantical Web service;
syntactical Web service;
19.
Secure E-Commerce Transactions for Multicast Services
机译:
用于多播服务的安全电子商务交易
作者:
A.K. Venkataiahgari
;
J.W. Atwood
;
M. Debbabi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
electronic commerce;
protocols;
security of data;
transaction processing;
2KP protocol;
AVISPA tool;
HIP protocol;
e-commerce protocols;
multicast data transmission;
secure e-commerce transactions for multicast services;
20.
Detecting Profile Injection Attacks in Collaborative Recommender Systems
机译:
检测协作推荐系统中的轮廓注射攻击
作者:
R. Burke
;
B. Mobasher
;
C. Williams
;
R. Bhaumik
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
groupware;
information filters;
pattern classification;
security of data;
classification approach;
collaborative recommender systems;
profile injection attack detection;
21.
Economic Evaluation Framework of Resource Allocation Methods in Service-Oriented Architectures
机译:
面向服务架构中资源分配方法的经济评估框架
作者:
W. Streitberger
;
M. Reinicke
;
T. Eymann
;
M. Catalano
;
G. Giulioni
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
resource allocation;
statistical analysis;
utility theory;
application layer networks;
economic evaluation;
resource allocation;
service-oriented architectures;
service-oriented computing;
statistical method;
22.
Business Process Automation and XML Standards: Is there a Semantic Gap?
机译:
业务流程自动化和XML标准:是否有语义差距?
作者:
A. Trachtenberg
;
C. Karwatowski
;
A. Patel
;
R. Barrett
;
W. Baker
;
S. Srinivasan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
XML;
electronic commerce;
XML standard;
business process automation;
business-to-business transaction;
business-to-consumer transaction;
electronic commerce;
23.
Performance Analysis for a Multi-Stage Negotiation
机译:
多阶段谈判的性能分析
作者:
Jiaxing Li
;
Jen-Hsiang Chen
;
Kuo-Ming Chao
;
N. Godwin
;
Yinsheng Li
;
Ying Huang
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
search problems;
utility theory;
complex utility function;
joint total utility;
multistage bilateral negotiation;
searching methods;
24.
On State Synchronization of Business Conversations
机译:
关于业务对话的状态同步
作者:
C. Molina-Jimenez
;
S. Shrivastava
;
S. Woodman
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2005年
关键词:
business data processing;
middleware;
RosettaNet partner interface processes;
business conversations;
distributed execution model;
middleware;
state synchronization;
25.
Power exploration for dynamic data types through virtual memory management refinement
机译:
通过虚拟内存管理改进动态数据类型的电源探索
作者:
da Silva J.L. Jr.
;
Catthoor F.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
26.
A delay distribution squeezing scheme with speed-adaptive threshold-voltage CMOS (SA-Vt CMOS) for low voltage LSls
机译:
具有用于低压LSL的速度自适应阈值电压CMOS(SA-VT CMOS)的延迟分布挤压方案
作者:
Miyazaki M.
;
Mizuno H.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
27.
Recent developments in high integration multi-standard CMOS transceivers for personal communication systems
机译:
用于个人通信系统的高集成多标准CMOS收发器的最新进展
作者:
Rudell J.C.
;
Jia-Jiunn Ou
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
28.
The energy complexity of register files
机译:
注册文件的能量复杂性
作者:
Zyuban V.
;
Kogge P.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
29.
Low-energy embedded FPGA structures
机译:
低能量嵌入式FPGA结构
作者:
Kusse E.
;
Rabaey J.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
30.
Power and performance tradeoffs using various caching strategies
机译:
使用各种缓存策略的电力和性能权衡
作者:
Bahar R.I.
;
Albera G.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
31.
Towards the capability of providing power-area-delay trade-off at the register transfer level
机译:
朝着在寄存器转移级别提供电力区域延迟折衷的能力
作者:
Chun-Hong Chen
;
Chi-Ying Tsui
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
32.
Fast high-level power estimation for control-flow intensive designs
机译:
控制流量密集型设计的快速高电平功率估算
作者:
Khouri K.S.
;
Lakshminarayana G.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
33.
Low-power embedded SRAM macros with current-mode read/write operations
机译:
具有当前模式读/写操作的低功耗嵌入式SRAM宏
作者:
Jinn-Shyan Wang
;
Po-Hui Yang
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
34.
A low power SRAM using auto-backgate-controlled MT-CMOS
机译:
使用自动返回控制的MT-CMOS的低功耗SRAM
作者:
Nii K.
;
Makino H.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
35.
Voltage scheduling problem for dynamically variable voltage processors
机译:
动态可变电压处理器的电压调度问题
作者:
Ishihara T.
;
Yasuura H.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
36.
High performance DSPs-what's hot and what's not?
机译:
高性能DSP - 什么是热的,什么不是?
作者:
Ackland B.
;
Nicol C.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
37.
A reconfigurable dual output low power digital PWM power converter
机译:
可重新配置的双输出低功耗数字PWM电源转换器
作者:
Dancy A.
;
Chandrakasan A.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
38.
Emerging power management tools for processor design
机译:
用于处理器设计的新兴电源管理工具
作者:
Blaauw D.T.
;
Dharchoudhury A.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
39.
A power optimization method considering glitch reduction by gate sizing
机译:
考虑栅极尺寸毛刺减少的功率优化方法
作者:
Hashimoto M.
;
Onodera H.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
40.
Low power logic synthesis under a general delay model
机译:
一般延迟模型下的低功率逻辑合成
作者:
Narayanan U.
;
Peichen Pan
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
41.
True single-phase energy-recovering logic for low-power, high-speed VLSI
机译:
低功耗,高速VLSI真正的单相能量恢复逻辑
作者:
Kim S.
;
Papaefthymiou M.C.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
42.
A unified approach in the analysis of latches and flip-flops for low-power systems
机译:
低功耗系统分析闩锁和触发器的统一方法
作者:
Stojanovic V.
;
Oklobdzija V.G.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
43.
Low power salient integration mode image sensor with a low voltage mixed-signal readout architecture
机译:
低功率突出积分模式图像传感器,具有低压混合信号读数架构
作者:
Chou E.Y.
;
Budrys A.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
44.
Decorrelating (DECOR) transformations for low-power adaptive filters
机译:
低功耗自适应滤波器的去相关(装饰)变换
作者:
Ramprasad S.
;
Shanbhag N.R.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
45.
The simulation and evaluation of dynamic voltage scaling algorithms
机译:
动态电压缩放算法的仿真与评估
作者:
Pering T.
;
Burd T.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
46.
Estimation of standby leakage power in CMOS circuit considering accurate modeling of transistor stacks
机译:
考虑晶体管堆栈精确建模的CMOS电路待机泄漏功率的估计
作者:
Zhanping Chen
;
Johnson M.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
47.
On the optimum design of regulated cascode operational transconductance amplifiers
机译:
关于调节级联运算跨导放大器的最佳设计
作者:
Burger T.
;
Qiuting Huang
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
48.
Monitoring system activity for OS-directed dynamic power management
机译:
监控操作系统动态电源管理的系统活动
作者:
Benini L.
;
Bogliolo A.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
49.
Stream synthesis for efficient power simulation based on spectral transforms CMOS logic
机译:
基于光谱变换的高效功率仿真流合成CMOS逻辑
作者:
Macii A.
;
Macii E.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
50.
Low power architecture of the soft-output Viterbi algorithm
机译:
软输出维特比算法的低功耗架构
作者:
Garrett D.
;
Stan M.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
51.
Low power methodology and design techniques for processor design
机译:
低功耗方法和处理器设计的设计技术
作者:
Brennan J.P.
;
Dean A.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
52.
Local transformation techniques for multi-level logic circuits utilizing circuit symmetries for power reduction
机译:
利用电路对称电力降低的多级逻辑电路局部变换技术
作者:
Ki-Seok Chung
;
Liu C.L.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
53.
System-level power estimation and optimization
机译:
系统级功率估计和优化
作者:
Benini L.
;
Hodgson R.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
54.
The logarithmic number system for strength reduction in adaptive filtering
机译:
适应性滤波强度减小的对数数系统
作者:
Sacha J.R.
;
Irwin M.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
55.
Low-swing interconnect interface circuits
机译:
低摆幅互连接口电路
作者:
Hui Zhang
;
Rabaey J.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
56.
Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors
机译:
建筑和编译器支持高性能微处理器的内存层次结构中的能量减少
作者:
Hajj N.B.M.
;
Polyckronopoulos C.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
57.
Theoretical bounds for switching activity analysis in finite-state machines
机译:
有限状态机中切换活动分析的理论界限
作者:
Marculescu D.
;
Marculescu R.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
58.
Optimizing the DRAM refresh count for merged DRAM/logic LSIs
机译:
优化Merged DRAM / Logic LSIS的DRAM刷新计数
作者:
Ohsawa T.
;
Kai K.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
59.
Memory modeling for system synthesis
机译:
系统合成内存建模
作者:
Coumeri S.L.
;
Thomas D.E.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
60.
Estimation of maximum power supply noise for deep sub-micron designs
机译:
深度亚微米设计的最大电源噪声估算
作者:
Yi-Min Jiang
;
Kwang-Ting Cheng
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
1998年
61.
Low power synthesis of sum-of-products computation
机译:
低功耗合成产品总和计算
作者:
K. Masselos
;
S. Theoharis
;
P. K. Merakos
;
T. Stouraitis
;
C. E. Goutis
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
62.
Low-power considerations in the design of Bluetooth
机译:
蓝牙设计中的低功耗考虑因素
作者:
Sven Mattisson
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
63.
'Cool low power' 1 GHz multi-port register file and dynamic latch in 1.8V, 0.25μm SOI and bulk technology
机译:
“酷炫低功耗”1 GHz多端口寄存器文件和1.8V,0.25μm和散装技术的动态闩锁
作者:
R. V. Joshi
;
W. Hwang
;
S. C. Wilson
;
C. T. Chuang
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
64.
Optimization of high-performance superscalar architectures for energy efficiency
机译:
优化高性能超卡尔架构的能效
作者:
V. Zyuban
;
P. Kogge
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
65.
Speeding up power estimation of embedded software
机译:
加快嵌入式软件的功率估算
作者:
Akshaye Sama
;
M. Balakrishnan
;
J. F. M. Theeuwen
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
66.
Achieving utility arbitrarily close to the optimal with limited energy
机译:
达到实用程序任意接近最佳能量最佳
作者:
Gang Qu
;
Miodrag Potkonjak
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
67.
Low power techniques and design tradeoffs in adaptive FIR filtering for PRML read channels
机译:
PRML读取通道的自适应FIR滤波中的低功耗技术和设计权衡
作者:
Khurram Muhammad
;
Robert B. Staszewski
;
Poras T. Balsara
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
68.
Low power sequential circuit design using priority encoding and clock gating
机译:
低功耗顺序电路设计使用优先级编码和时钟门控
作者:
Xunwei Wu
;
Pedram M.
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
69.
Gated-V{sub}{dd}: a circuit technique to reduced leakage in deep-submicron cache memories
机译:
Gated-V {Sub} {DD}:一种降低深度亚微米高速缓存存储器泄漏的电路技术
作者:
Michael Powell
;
Se-Hyun Yang
;
Babak Falsafi
;
Kaushik Roy
;
T. N. Vijaykumar
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
70.
Algorithmic transforms for efficient energy scalable computation
机译:
高效能量可扩展计算的算法变换
作者:
Amit Sinha
;
Alice Wang
;
Anantha P. Chandrakasan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
71.
Cycle-accurate energy consumption measurement and analysis: case study on ARM7TDMI
机译:
循环准确的能耗测量和分析:ARM7TDMI案例研究
作者:
Naehyuck Chang
;
Kwanho Kim
;
Hyung Gyu Lee
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
72.
High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies
机译:
用于缩小CMOS和MTCMOS技术的高速动态逻辑样式
作者:
Mohamed W. Allam
;
Mohab H. Anis
;
Mohamed I. Elmasry
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
73.
Operating-system directed power reduction
机译:
操作系统定向功率降低
作者:
Yung-Hsiang Lu
;
Luca Benini
;
Giovanni De Micheli
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
74.
Practical considerations of clock-powered logic
机译:
时钟功率逻辑的实践考虑因素
作者:
William Athas
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Energy-recovery CMOS;
Clock-powered logic;
Adiabatic charging;
Microprocessors;
ER-CMOS;
Supply-voltage scaling;
75.
Systematic cycle budget versus system power trade-off: a new perspective on system exploration of ream-time data-dominated applications
机译:
系统循环预算与系统电源折衷 - 探讨了角色时间数据主导应用的系统探索的新视角
作者:
Erik Brockmeyer
;
Arnout Vandecappelle
;
Francky Catthoor
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
76.
MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments
机译:
MOS电流模式逻辑用于低功耗,混合信号环境中的低噪声CORDIC计算
作者:
Jason M. Musicer
;
Jan Rabaey
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Current model logic;
CORDIC;
Low-energy design;
Digital logic;
77.
A low-power clock and data recovery circuit for 2.5 Gb/s SDH receivers
机译:
2.5 GB / S SDH接收器的低功耗时钟和数据恢复电路
作者:
Andrea Pallotta
;
Francesco Centurelli
;
Alessandro Trifiletti
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Clock recovery;
Optical communications;
SDH;
Low power;
78.
Gated-V/sub dd/: a circuit technique to reduce leakage in deep-submicron cache memories
机译:
Gated-V / Sub DD /:一种减少深亚微米高速缓存存储器泄漏的电路技术
作者:
Powell M.
;
Se-Hyun Yang
;
Institute of Electric and Electronic Engineer
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
79.
Design issues for Dynamic Voltage Scaling
机译:
动态电压缩放的设计问题
作者:
Thomas D. Burd
;
Robert W. Brodersen
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Energy efficient;
Variable voltage;
Processor;
Circuit design;
80.
Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process
机译:
超低功耗UHF收发器的权衡与设计,集成在标准数字CMOS过程中
作者:
Alain-Serge Porret
;
Thierry Melly
;
E. A. Vittoz
;
C. C. Enz
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
RF;
Transceiver;
Low-power;
Low-voltage;
CMOS;
81.
New clock-gating techniques for low-power flip-flops
机译:
用于低功耗触发器的新型时钟门控技术
作者:
A. G. M. Strollo
;
E. Napoli
;
D. De Caro
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
CMOS digital integrated circuits;
Flip-fops;
Low-power circuits;
Transition probability;
82.
Analysis and design of low-phase-noise ring oscillators
机译:
低相噪声环振荡器的分析与设计
作者:
Liang Dai
;
Ramesh Harjani
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
83.
Low power sequential circuit design by using priority encoding and clock gating
机译:
使用优先级编码和时钟门控低功耗顺序电路设计
作者:
Xunwei Wu
;
Massoud Pedram
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
84.
Power minimization of functional units by partially guarded computation
机译:
通过部分保护的计算功率最小化功能单元
作者:
Junghwan Choi
;
Jinhwan Jeon
;
Kiyoung Choi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Low power;
Partially guarded computation;
85.
High-level power estimation with interconnect effects
机译:
具有互连效应的高级功率估计
作者:
Kavel M. Buyuksahin
;
Farid N. Najm
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
86.
Noise -aware power optimization for on-chip interconnect
机译:
Chip interconnect的噪声--AWARE功率优化
作者:
Ki-Wook Kim
;
Seong-Ook Jung
;
Unni Narayanan
;
C. L. Liu
;
Sung-Mo Kang
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
87.
Model and analysis for combined package and on-chip power grid simulation
机译:
组合封装和片上电网模拟模型与分析
作者:
Rajendran Panda
;
David Blaauw
;
Rajat Chaudhry
;
Vladimir Zolotov
;
Brian Young
;
Ravi Ramaraju
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
88.
Low power mixed analog-digital signal processing
机译:
低功率混合模数信号处理
作者:
Mattias Duppils
;
Chirster Svensson
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
89.
Voltage scheduling in the IpARM microprocessor system
机译:
IPARM微处理器系统中的电压调度
作者:
Trevor Pering
;
Thomas Burd
;
Robert Brodersen
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Low-power energy-efficient;
RTOS;
Operating systems;
90.
Minimum power and area N-tier multilevel interconnect architectures using optimal repeater insertion
机译:
使用最佳中继器插入的最小电源和区域n-tier多级互连架构
作者:
Raguraman Venkatesan
;
Jeffrey A. Davis
;
Keith A. Bowman
;
James D. Meindl
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
91.
Energy efficient design of portable wireless systems
机译:
便携式无线系统的节能设计
作者:
Tajana Simunic
;
Haris Vikalo
;
Peter Glynn
;
Giovanni De Micheli
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
92.
Energy minimization with guaranteed quality of service
机译:
能量最小化,保证服务质量
作者:
Gang Qu
;
Miodrag Potkonjak
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
93.
A recursive algorithm for low-power memory partitioning
机译:
低功耗内存分区的递归算法
作者:
Luca Benini
;
Alberto Macii
;
Massimo Poncino
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
94.
Power consumption reduction in high-speed ∑△ bandpass modulators
机译:
高速σ△带通调制器的功耗降低
作者:
P. Cusinato
;
F. Stefani
;
A. Baschirotto
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
95.
Robust ultras-low power sub-threshold DTMOS logic
机译:
强大的超低功耗子阈值DTMOS逻辑
作者:
Hendrawan Soeleman
;
Kaushik Roy
;
Bipul Paul
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
96.
An asynchronous matrix-vector multiplier for discrete
机译:
离散的异步矩阵矢量倍增器
作者:
Kyeounsoo Kim
;
Peter A. Beerel
;
Youpyo Hong
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
关键词:
Asynchronous matrix-vector multiplier;
Discrete cosine transform;
97.
A three-port nRERL register file for ultra-low-energy
机译:
用于超低能量的三端口NRERL寄存器文件
作者:
Jun-Ho Kwon
;
Joonho Lim
;
Soo-Ik Chae
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2000年
98.
Full-chip sub-threshold leakage power prediction model for sub-0.18μm CMOS
机译:
Sub-0.18μmCMOS的全芯片子阈值泄漏功率预测模型
作者:
Siva Narendra
;
Vivek De
;
Shekhar Borkar
;
Dimitri Antoniadis
;
Anantha Chandrakasan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2002年
关键词:
CMOS;
Sub-threshold leakage;
Within-die variation;
99.
Automated selective multi-threshold design for ultra-low standby applications
机译:
用于超低备用应用的自动选择性多阈值设计
作者:
Kimiyoshi Usami
;
Naoyuki Kawabe
;
Masayuki Koizumi
;
Katsuhiro Seta
;
Toshiyuki Furusawa
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2002年
关键词:
Automated design;
Multi-threshold;
Standby leakage current;
100.
Low power integrated scan-retention mechanism
机译:
低功率集成扫描保留机制
作者:
Victor Zyuban
;
Stephen V. Kosonocky
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2002年
关键词:
Data retention;
MTCMOS;
Subthreshold;
Leakage;
Low power;
Latch;
Scan;
Balloon latch;
意见反馈
回到顶部
回到首页