掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Advances in Resist Technology and Processing XXI pt.1
Advances in Resist Technology and Processing XXI pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
世界广播电视
电信工程技术与标准化
中国新通信
电气电子教学学报
电子信息对抗技术
红外
雷达与对抗
红外技术
互联网天地
电子与信息学报
更多>>
相关外文期刊
International Journal of ICT Research and Development in Africa
Cable TV Investor
Journal of mobile multimedia
Total Telecom Magazine
BT Technology Journal
Radioelectronics and Communications Systems
Wireless Data News
Proceedings of the IEE - Part C: Monographs
IEEE Journal on Selected Areas in Communications
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
更多>>
相关中文会议
2014中国高端SMT学术会议
洛阳惯性技术学会2008年学术年会
2012全国无线及移动通信学术大会
第六届中国密码学学术会议
第二届全国信号处理与应用学术会议
2014电子机械与微波结构工艺学术会议
中国电子学会第八届青年学术年会暨中国电子学会青年工作委员会成立十周年学术研讨会
2002年全国电子测控工程学术年会
中国电子学会系统仿真及计算机辅助设计在雷达技术中的应用研讨会
第十七届全国混合集成电路学术会议
更多>>
相关外文会议
Intl Symp on Optical Fabrication, Testing, and Surface Evaluation
Recent researches in communications, electrical & computer engineering
Conference on Mathematical Modeling, Estimation, and Imaging 31 July-1 August 2000 San Diego, USA
High Energy/Average Power Lasers and Intense Beam Applications IX
International Conference on Optics and Photonics 2015
Conference on Light-Emitting Diodes: Research, Manufacturing, and Applications Ⅴ Jan 24-25, 2001, San Jose, USA
Conference on Optoelectronic Integration on Silicon; 20040127-20040128; San Jose,CA; US
2014 22nd International Conference on Very Large Scale Integration
2013 IEEE 14th International Symposium and Workshops on a World of Wireless, Mobile and Multimedia Networks
First International Conference on Communications and Networking (ComNet 2009)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Why do weak acids not work in 193-nm photoresists? Matrix effects on acid catalyzed deprotection
机译:
为什么弱酸在193 nm光刻胶中不起作用?基质对酸催化脱保护的影响
作者:
Gerd Pohlers
;
George Barclay
;
Azher Razvi
;
Carolyne Stafford
;
Tony Barbieri
;
Jim Cameron
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
2.
Water-developable Resists Based on Glyceryl Methacrylate for 193-nm Lithography
机译:
基于甲基丙烯酸甘油酯的可水显影抗蚀剂用于193 nm平版印刷
作者:
Jin-Baek Kim
;
Ji-Hyun Jang
;
Jae-Hak Choi
;
Kwan-Ku Lee
;
Jong-Sung Ko
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
water-soluble polymers;
cross-linking;
193-nm photoresist;
3.
The synthesis and properties of N-hydroxy Maleopimarimide Sulfonate derivatives as PAG and inhibitor for Deep UV photoresist
机译:
N-羟基马来酰亚胺嘧啶磺酸盐衍生物作为PAG和深紫外光致抗蚀剂的抑制剂的合成与性能
作者:
Liyuan Wang
;
Wenjun Wang
;
Xin Guo
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photoresist;
PAG;
sulfonate;
N-hydroxy maleopimarimide;
193nm;
4.
The lithographic impact of resist model parameters
机译:
光刻胶模型参数的光刻影响
作者:
Mark D. Smith
;
Jeffrey D. Byers
;
Chris A. Mack
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photoresist modeling;
lithography simulation;
PROLITH;
5.
Strategy for sub-80nm contact holes patterning considering device fabrication
机译:
考虑器件制造的80nm以下接触孔构图策略
作者:
Jin-Young Yoon
;
Mitsuhiro Hata
;
Jung-Hwan Hah
;
Hyun-Woo Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
sub-80nm contact holes;
ArF lithography;
ArF thermal flow;
ArF SAFIER;
ArF RELACS;
6.
Rinse additives for defect suppression in 193 nm and 248 nm lithography
机译:
漂洗添加剂,用于193 nm和248 nm光刻中的缺陷抑制
作者:
Spyridon Skordas
;
Ryan L. Bums
;
Dario L. Goldfarb
;
Sean D. Burns
;
Marie Angelopoulos
;
Colin J. Brodsky
;
Margaret C. Lawson
;
Carole Pillette
;
Jeffrey J. Bright
;
Robert L. Isaacson
;
Mark E. Lagus
;
Vandana Vishnu
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
rinse additives;
satellite spot defects;
193 nm lithography;
248 nm lithography;
yield improvement;
surfactants;
adsorption;
critical micelle concentration;
contact angle;
7.
Photopatternable silicone compositions for electronic packaging applications
机译:
用于电子包装的可光图案化的有机硅组合物
作者:
Brian Harkness
;
Geoff Gardner
;
James Alger
;
Michelle Cummings
;
Jennifer Printing
;
Yeong Lee
;
Herman Meynen
;
Mario Gonzalez
;
Bart Vandevelde
;
Mathieu Vanden Bulcke
;
Christophe Winters
;
Eric Beyne
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
silicone;
thick film;
patterning;
low stress;
low modulus;
photo lithography;
resist;
spin-on;
rework;
integration;
8.
Measurements of water distribution in thin lithographic films
机译:
光刻薄膜中水分布的测量
作者:
Bryan D. Vogt
;
Christopher L. Soles
;
Vivek M. Prabhu
;
Ronald L. Jones
;
Wen-Li Wu
;
Eric K. Lin
;
Dario L. Goldfarb
;
Marie Angelopoulos
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
thin films;
neutron reflectivity;
x-ray reflectivity;
water immersion;
9.
Novel Non-ionic Photoacid Generator Releasing Strong Acid for Chemically Amplified Resists
机译:
新型非离子型光致产酸剂,用于化学放大抗蚀剂释放强酸
作者:
Hitoshi Yamato
;
Toshikage Asakura
;
Tobias Hintermann
;
Masaki Ohwa
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
non-ionic;
photoacid generator;
ArF;
strong acid;
chemically amplified resists;
10.
Optimization of Resist Shrink Techniques forContact Hole and Metal Trench ArF Lithography at the 90nm Technology Node
机译:
90nm工艺节点的接触孔和金属沟槽ArF光刻胶抗收缩技术的优化
作者:
Christine Wallace
;
Jochen Schacht
;
I H Huang
;
Ruei H Hsu
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
contact hole lithography;
resist shrink;
RELACS;
SAFIER;
process window;
DOf;
11.
Outgassing Characteristics of Acetal Resists for 157 nm Lithography Investigated by Time-Resolved Measurement
机译:
时间分辨测量研究的157 nm光刻胶中乙缩醛的除气特性
作者:
Yoshinori Matsui
;
Shu Seki
;
Shiro Matsui
;
Seiichi Tagawa
;
Shigeo Irie
;
Toshiro Itani
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
outgassing;
157 nm;
F_2 resist;
acetal resist;
mass spectra;
quadrupole mass spectrometry;
time-resolved measurement;
12.
Novel reactions of quadricyclane—A new route to monomers for low-absorbing polymers in 157 nm photoresists
机译:
四环烷的新型反应-在157 nm光刻胶中制备低吸收性聚合物的单体的新途径
作者:
John A. Marsella
;
Atteye H. Abdourazak
;
Richard V. C. Carr
;
Thomas J. Markley
;
Eric A. Robertson III
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
norbornene;
quadricyclane;
fluoropolymer;
photoresist;
13.
Investigation of shot noise induced line-edge roughness by continuous model based simulation
机译:
通过基于连续模型的仿真研究散粒噪声引起的线边缘粗糙度
作者:
Lei Yuan
;
Andrew Neureuther
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
line-edge roughness;
shot noise;
non-fickean diffusion;
flare;
continuous resist modeling;
large unlikely roughness event (LURE);
14.
Hexafluoroisopropyl and Trifluoromethyl Carbinols in an Acrylate Platform for 157-nm Chemically Amplified Resists
机译:
用于157 nm化学放大抗蚀剂的丙烯酸酯平台中的六氟异丙基和三氟甲基甲醇
作者:
Vladimir Jakubek
;
Eric A. Robertson III
;
Atteye H. Abdourazak
;
Thomas J. Markley
;
John A. Marsella
;
Christopher K. Ober
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
fluorinated polymers;
hexafluoroisopropyl group;
hemiacetal;
chemical amplification;
15.
High Performance 193nm Photoresist Materials Based on ROMA polymers; sub-90nm Contact Hole Application with Resist Reflow
机译:
基于ROMA聚合物的高性能193nm光刻胶材料;电阻回流低于90nm的接触孔应用
作者:
Hyun Sang Joo
;
Dong Chul Seo
;
Chang Min Kim
;
Young Taek Lim
;
Seung Duk Cho
;
Jong Bum Lee
;
Ji Young Song
;
Kyoung Mun Kim
;
Joo Hyeon Park
;
Jae Chang Jung
;
Ki Soo Shin
;
Chul Kyu Bok
;
Seung Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
16.
IBM-JSR 193nm Negative Tone Resist: Polymer Design, Material Properties, and Lithographic Performance
机译:
IBM-JSR 193nm负色调抗蚀剂:聚合物设计,材料性能和光刻性能
作者:
Kaushal Patel
;
Margaret Lawson
;
Pushkara Varanasi
;
David Medeiros
;
Gregory Wallraff
;
Phillip Brock
;
Richard DiPietro
;
Yukio Nishimura
;
Takashi Chiba
;
Mark Slezak
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
193nm lithography;
chemically amplified;
negative-tone resist;
crosslinking;
hexafluoroalcohol;
etch;
17.
Evaluation of 157-nm Resist Structure - Outgassing Relationship Using In-Situ QCM Technique
机译:
使用原位QCM技术评估157 nm电阻结构-脱气关系
作者:
Masamitsu Shirai
;
Toyofumi Shinozuka
;
Shinich Takashiba
;
Yusuke Horiguchi
;
Shigeo Irie
;
Toshiro Itani
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
outgassing;
in-situ QCM technique;
157-nm resist;
fluoropolymers;
photoacid generators;
acid component;
18.
Elimination of Photoresist Linewidth Slimming by Fluorination
机译:
通过氟化消除光刻胶线宽
作者:
Cesar M. Garza
;
W. Conley
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
optical lithography;
193nm resists;
linewidth slimming;
fluorination;
19.
Effects of Airborne Molecular Contamination on 157nm Resists: AMC Friend or Foe?
机译:
机载分子污染对157nm抗性的影响:AMC是朋友还是敌人?
作者:
Jeff Meute
;
Georgia Rich
;
Karen Turnquest
;
Kim Dean
;
Shashi Patel
;
Vicki Graffenberg
;
Michael Rodriguez
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
airborne molecular contamination;
AMC;
resist contamination;
157 nm resists;
airborne base control;
AMC real-time monitoring;
20.
Design of Dissolution Inhibitors for Chemically Amplified Photolithographic Systems
机译:
化学放大光刻系统溶解抑制剂的设计
作者:
Charles R. Chambers
;
Shiro Kusumoto
;
Brian P. Osborn
;
Alok Vasudev
;
Mitchitaka Ootani
;
Leonidas Walthal
;
Hale McMichael
;
Paul Zimmerman
;
Willard E. Conley
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
dissolution inhibitor;
DI;
photo acid generator;
PAG;
157 nm photoresist;
PNBHFA;
asahi;
meyerhofer;
21.
Effect of Nanoscale Confinement on the Diffusion Behavior of Photoresist Polymer Thin Films
机译:
纳米级限制对光致抗蚀剂聚合物薄膜扩散行为的影响
作者:
Lovejeet Singh
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
diffusion coefficient;
polymer thin film;
quartz crystal microbalance;
22.
Effect of Background Exposure Dose upon Line Edge Roughness (LER)
机译:
背景暴露剂量对线条边缘粗糙度(LER)的影响
作者:
Mike Williamson
;
Andrew Neureuther
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
chemically amplified resist;
double exposure;
scanning electron microscopy;
line edge roughness;
LER;
lithography;
imaging, contrast;
aerial image contrast;
background contrast;
flare;
23.
Design and Development of Novel Monomers and Copolymers for 193-nm Lithography
机译:
用于193 nm平版印刷术的新型单体和共聚物的设计和开发
作者:
Atsushi Otake
;
Emi Araya
;
Hikaru Momose
;
Ryuichi Ansai
;
Masayuki Tooyama
;
Tadayuki Fujiwara
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
193-nm lithography;
resist material;
CN-group;
monomer;
copolymer;
24.
Dependence of ArF resist on Exposed Area Ratio
机译:
ArF抗蚀剂对暴露面积比的依赖性
作者:
Eishi Shiobara
;
Kenji Chiba
;
Kei Hayasaki
;
Daisuke Kawamura
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
ArF;
chemically amplified resist;
exposed area ratio (EAR);
acid evaporation;
acid re-sticking;
PAB temperature;
25.
Comprehensive analysis of sources of total CD variation in ArF resist Perspective
机译:
综合分析ArF抗蚀剂中CD总变化的来源
作者:
Hyun-Woo Kim
;
Hyung-Rae Lee
;
Kyung-Mee Kim
;
Shi Yong Lee
;
Bong-Cheol Kim
;
Seok-Hwan Oh
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
CD uniformity;
ArF;
lithography;
MEEF;
PEB sensitivity;
PED;
26.
Application of photosensitive BARC and KrF resist on implant layers
机译:
光敏BARC和KrF抗蚀剂在注入层上的应用
作者:
DC Owe-Yang
;
Bang-Chein Ho
;
Shinji Miyazaki
;
Tomohide Katayama
;
Kenji Susukida
;
Wenbing Kang
;
Yung-Cheng Chang
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photosensitive BARC;
developer soluble BARC;
implant layers;
KrF lithography;
CD variation;
27.
Application of newly synthesized poly(hydroxystyrene-acrylate) copolymers to improve vacuum stability on E-beam resist for mask fabrication
机译:
新合成的聚(羟基苯乙烯-丙烯酸酯)共聚物在改善电子束抗蚀剂在掩模制造中的真空稳定性方面的应用
作者:
Dong-hwal Lee
;
Sang-jung Kim
;
Dong-uk Choi
;
Deogbae Kim
;
Jae-hyun Kim
;
Chang-hwan Kim
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
electron beam resist;
chemical amplified resist;
acetal polymer;
vacuum stability;
sensitivity;
boiling point;
28.
BIORESIST: a lithographic approach for the patterning of cells in tissue engineering applications
机译:
生物抗蚀剂:一种用于组织工程应用中细胞图案化的光刻方法
作者:
Wei He
;
Kenneth E. Gonsalves
;
Craig R. Halberstadt
;
Yusif Umar
;
Jae-Hak Choi
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
chemically amplified photoresist;
NVP;
t-BOC;
patterning;
fibroblast;
29.
157-nm single-layer resist based on a novel monocyclic fluorinated polymer
机译:
基于新型单环氟化聚合物的157 nm单层抗蚀剂
作者:
Akihiko Otoguro
;
Shigeo Irie
;
Toshiro Itani
;
Kiyoshi Fujii
;
Yoko Takebe
;
Yasuhide Kawaguchi
;
Osamu Yokokoji
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
fluorinated polymer;
single-layer resist;
chemically amplified resist;
protecting group;
dry etching resistance;
30.
193-nm Negative Resist Based on Acid-Catalyzed Elimination of Polar Molecules
机译:
基于酸催化消除极性分子的193 nm负阻
作者:
R. Sooriyakumaran
;
B. Davis
;
C. E. Larson
;
P. J. Brock
;
R. A. DiPietro
;
T. I. Wallow
;
E. F. Connor
;
L. Sundberg
;
G. Breyta
;
R. D. Allen
;
K. Patel
;
P. R. Varanasi
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
negative tone photoresist;
193 nm lithography;
polarity switch;
elimination of polar molecules;
chemically amplified;
31.
Rinse additives for line edge roughness control in 193 nm lithography
机译:
漂洗添加剂,用于控制193 nm光刻中的线条边缘粗糙度
作者:
Dario L. Goldfarb
;
Sean D. Burns
;
Ryan L. Bums
;
Colin J. Brodsky
;
Margaret C. Lawson
;
Marie Angelopoulos
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
line edge roughness;
LER;
line width roughness;
LWR;
rinse additives;
193 nm lithography;
32.
Resist interaction in 193-/157-nm immersion lithography
机译:
193- / 157-nm浸没式光刻中的抗蚀剂相互作用
作者:
Shinji Kishimura
;
Masayuki Endo
;
Masaru Sasago
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
immersion;
193-nm;
157-nm;
resist;
water;
PFPE;
acetal;
COMA;
hydrolysis;
PAG;
33.
Rapid Supercritical Drying Techniques for Advanced Lithography
机译:
先进光刻技术的快速超临界干燥技术
作者:
Hideo Namatsu
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
supercritical drying;
pattern collapse;
resist;
fluoro-compound;
34.
Quencher gradient resist process for low k process
机译:
低k工艺的Quencher梯度抗蚀剂工艺
作者:
Jae Chang Jung
;
Sung Koo Lee
;
Won Wook Lee
;
Cheolkyu Bok
;
Seung Chan Moon
;
Ki Soo Shin
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
quencher;
ArF;
immersiom;
lithography;
gradient;
photoresist;
35.
Resolution Enhancement Technology: The Past, the Present, and Extensions for the Future
机译:
分辨率增强技术:过去,现在和将来的扩展
作者:
Franklin M. Schellenberg
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
history of optics;
abbe;
resolution;
resolution enhancement;
RET;
polarization;
36.
Using scanning electrochemical microscopy to probe chemistry at the solid-liquid interface in chemically amplified immersion lithography
机译:
在化学放大浸没式光刻中使用扫描电化学显微镜在固液界面处探测化学
作者:
Robert J. LeSuer
;
Frank F. Fan
;
Allen J. Bard
;
Chris Taylor
;
Pavlos Tsiartas
;
Grant Willson
;
Will Conley
;
Gene Feit
;
Rod Kunz
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
37.
The Dissolution Behavior of Tetrafluoroethylene-based Fluoropolymers for 157-nm Resist Materials
机译:
四氟乙烯基含氟聚合物在157 nm抗蚀剂材料中的溶解行为
作者:
T. Ishikawa
;
T. Kodani
;
M. Koh
;
T. Moriya
;
T. Araki
;
H. Aoyama
;
T. Yamashita
;
M. Toriumi
;
T. Hagiwara
;
T. Furukawa
;
T. Itani
;
K. Fujii
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
resist;
fluoropolymer;
tetrafluoroethylene;
norbornene;
exo;
polymer reaction;
38.
Synthesis of photoresists for 157 nm microlithography using CO_2
机译:
使用CO_2合成用于157 nm微光刻的光刻胶
作者:
Mary Kate Boggiano
;
Joseph M. DeSimone
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
condensed CO_2;
addition polymerization;
photoresist synthesis;
39.
Surface and bulk chemistry of chemically amplified photoresists: segregation in thin films and environmental stability issues
机译:
化学放大光致抗蚀剂的表面和本体化学性质:薄膜中的偏析和环境稳定性问题
作者:
Erin L. Jablonski
;
Vivek M. Prabhu
;
Sharadha Sambasivan
;
Daniel A. Fischer
;
Eric K. Lin
;
Dario L. Goldfarb
;
Marie Angelopoulos
;
Hiroshi Ito
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
NEXAFS;
blend miscibility;
airborne molecular contaminants;
40.
Resolution limitations in chemically amplified photoresist systems
机译:
化学放大光刻胶系统中的分辨率限制
作者:
Gerard M. Schmid
;
Michael D. Stewart
;
Chia-Ying Wang
;
Bryan D. Vogt
;
Vivek M. Prabhu
;
Eric K. Lin
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photolithography;
chemically amplified photoresist;
resolution limit;
41.
Recent Advances in Fluorinated Resists for Application at 157 nm
机译:
157 nm氟化抗蚀剂的最新研究进展
作者:
Francis Houlihan
;
Raj Sakamuri
;
Andrew Romano
;
David Rentkiewicz
;
Ralph R. Dammel
;
Will Conley
;
Daniel Miller
;
Michael Sebald
;
Nickolay Stepanenko
;
M. Markert
;
U. Mierau
;
Inge.Vermeir
;
Christoph Hohle
;
Toshiro Itani
;
Masato Shigematsu
;
Etsuro Kawaguchi
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157 nm;
resist;
high transparency;
42.
Reactive dissolution kinetics of lithographic copolymers
机译:
光刻共聚物的反应溶解动力学
作者:
William Hinsberg
;
Frances Houle
;
Hiroshi Ito
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
resist dissolution;
quartz crystal microbalance;
kinetics;
critical ionization;
43.
Proximity correction and k1 performance for resists with non-optical patterning response
机译:
具有非光学构图响应的抗蚀剂的接近度校正和k1性能
作者:
David Fryer
;
Vivek Singh
;
Thanh Phung
;
Peng Liu
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
44.
Patterning Capabilities of EUV Resists
机译:
EUV抵抗者的图案化能力
作者:
Wang Yueh
;
Heidi Cao
;
Manish Chandhok
;
Sang Lee
;
Michael Shumway
;
Jeff Bokor
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
extreme ultraviolet (EUV) lithography;
photoresist;
line width roughness (LWR);
absorbance;
outgassing;
45.
PEB sensitivity variation of 193 nm resist according to activation energy of protection groups
机译:
根据保护基团的活化能,193 nm抗蚀剂的PEB灵敏度变化
作者:
Seung Keun Oh
;
Jong Yong Kim
;
Jae Woo Lee
;
Deogbae Kim
;
Jaehyun Kim
;
Geun Soo Lee
;
Jae Chang Jung
;
Cheol Kyu Bok
;
Ki Soo Shin
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
ArF resist;
PEB sensitivity;
activation energy for deprotecting reactions;
acid diffusion controller;
diffusivity of quencher;
46.
Photoresist outgassing: A potential Achilles heel for short wavelength optical lithography?
机译:
光刻胶除气:短波长光学光刻的潜在致命弱点?
作者:
Roderick R. Kunz
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photoresist;
outgassing;
photocontamination;
photodeposition;
mass spectrometry;
gas chromatography;
47.
Overbake: sub-40nm gate patterning with ArF lithography and binary masks
机译:
过度烘烤:具有ArF光刻和二进制掩模的亚40纳米栅极图案
作者:
D. Van Steenwinckel
;
H. Kwinten
;
S. Locorotondo
;
S. Beckx
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
chemically amplified photoresist;
post-exposure bake;
overbake;
LER;
LES;
CD linearity;
gate patterning;
etch-trim procedure;
48.
Novel Resists with Non-traditional Compositions for EUV Lithography
机译:
具有非传统成分的EUV光刻胶新型抗蚀剂
作者:
Junyan Dai
;
Christopher K Ober
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
EUV lithography;
EUV resists;
boron-containing resists;
etch resistance;
hall effect measurement;
49.
Liquid Immersion Lithography - Evaluation of Resist Issues
机译:
液体浸没式光刻-抵抗问题评估
作者:
William Hinsberg
;
Gregory Wallraff
;
Carl Larson
;
Blake Davis
;
Vaughn Deline
;
Simone Raoux
;
Dolores Miller
;
Frances Houle
;
John Hoffnagle
;
Martha Sanchez
;
Charles Rettner
;
Linda Sundberg
;
David Medeiros
;
Ralph Dammel
;
Will Conley
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
chemically amplified resists;
immersion;
resolution;
contamination;
50.
Investigation of the effect of resist components and process condition on photochemical efficiency of ArF photoresist
机译:
抗蚀剂成分和工艺条件对ArF光刻胶光化学效率的影响研究
作者:
Jung-Woo Kim
;
Eun-Kyung Son
;
Sang-Hyang Lee
;
Deogbae Kim
;
Jaehyun Kim
;
Geun-su Lee
;
Jae-Chang Jung
;
Chul-Kyu Bok
;
Seung-Chan Moon
;
Ki-Soo Shin
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
ArF photoresist;
sensitivity;
photo acid generator;
51.
Influence of resin properties to resist performance at ArF lithography
机译:
树脂性能对ArF光刻胶抗蚀性能的影响
作者:
Sangwoong Yoon
;
Myungsun Kim
;
Hong Lee
;
Do Young Kim
;
Young Hoon Kim
;
Boo Deuk Kim
;
Jae Hyun Kim
;
Kyung-Mee Kim
;
Shi Yong Lee
;
Young Ho Kim
;
Sang-Mun Chon
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
ArF photoresist;
resin properties;
molecular weight;
polydispersity;
LER;
pattern profile;
52.
Implications of immersion lithography on 193nm photoresists
机译:
浸没式光刻技术对193nm光刻胶的影响
作者:
J. Christopher Taylor
;
Charles R. Chambers
;
Ryan Deschner
;
Robert J. LeSuer
;
Will Conley
;
Sean D. Bums
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
immersion lithography;
photoresist components;
water;
radiolabeling;
spectroscopic ellipsometry;
53.
Improved chemically amplified photoresist characterization using interdigitated electrode sensors: Photoacid diffusivity measurements
机译:
使用叉指式电极传感器改进化学放大的光致抗蚀剂的表征:光酸扩散率测量
作者:
Cody M. Berger
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
diffusion coefficient;
chemically amplified photoresist;
interdigitated electrode;
impedance spectroscopy;
54.
Impact of BARC on SEM Shrinkage of ArF Resist
机译:
BARC对ArF抗蚀剂的SEM收缩的影响
作者:
Shi Yong Lee
;
Myung-Sun Kim
;
Sangwoong Yoon
;
Kyung-Mee Kim
;
Jae Hyun Kim
;
Hyun-Woo Kim
;
Sang-Gyun Woo
;
Young Ho Kim
;
Sang Mun Chon
;
Takahiro Kishioka
;
Yasuhisa Sone
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
BARC;
ArF;
SEM shrinkage;
SEM slimming;
55.
Fundamentals of Developer-Resist Interactions for Line-Edge Roughness and Critical Dimension control in Model 248 nm and 157 nm Photoresists
机译:
248 nm和157 nm型光刻胶中线边缘粗糙度和临界尺寸控制的显影剂与显影剂相互作用的基础知识
作者:
Vivek M. Prabhu
;
Michael X. Wang
;
Erin L. Jablonski
;
Bryan D. Vogt
;
Eric K. Lin
;
Wen-li Wu
;
Dario L. Goldfarb
;
Marie Angelopoulos
;
Hiroshi Ito
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
developer;
dissolution;
LER;
CD;
polyelectrolyte;
reflectivity;
AFM;
roughness;
swelling;
56.
Fluoropolymers for 157-nm Single-Layer Resists Developed using a New Etching Rate Estimation Model (KI-Model)
机译:
使用新的蚀刻速率估计模型(KI模型)开发了用于157 nm单层抗蚀剂的含氟聚合物
作者:
Yasuhide Kawaguchi
;
Takashi Sasaki
;
Jun Irisawa
;
Osamu Yokokoji
;
Shigeo Irie
;
Akihiko Otoguro
;
Toshiro Itani
;
Kiyoshi Fujii
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
fluoropolymer;
photoresist;
157-nm lithography;
transparency;
dry etching resistance;
57.
Evaluation of Outgassing from a Fluorinated Resist for 157-nm Lithography
机译:
157nm光刻中含氟抗蚀剂除气的评估
作者:
Shigeo Irie
;
Kiyoshi Fujii
;
Yasuo Itakura
;
Youichi Kawasa
;
Keiji Egawa
;
Ikuo Uchino
;
Akira Sumitani
;
Toshiro Itani
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
fluoropolymer;
protecting group;
photo-acid generator;
resist outgassing;
outgassing-adhesion;
GC-MS;
XPS;
TEM;
TOF-SIMS;
58.
Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant application
机译:
评估可湿显影的KrF有机BARC以改善植入物应用的CD均匀性
作者:
Isabelle Guilmeau
;
Alice F. Guerrero
;
Vincent Blain
;
Stephanie Kremer
;
Vincent Vachellerie
;
Damien Lenoble
;
Patricia Nogueira
;
Sebastien Mougel
;
Jean-Damien Chapon
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
bottom anti-reflective coating (BARC);
wet developable;
KrF photoresist;
CD uniformity;
profiles characterization;
implantation;
59.
Enhancing the Electron Beam Sensitivity of Hydrogen Silsesquioxane (HSQ)
机译:
增强倍半硅氧烷氢(HSQ)的电子束灵敏度
作者:
Augustin Jeyakumar
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
electron beam;
lithography;
inorganic;
resist;
hydrogen silsesquioxane;
sensitivity;
photodecomposable base;
photobase generator;
60.
Effect of line edge roughness (LER) and line width roughness (LWR) on Sub-100 nm Device Performance
机译:
线边缘粗糙度(LER)和线宽粗糙度(LWR)对100 nm以下器件性能的影响
作者:
Ji-Young Lee
;
Jangho Shin
;
Hyun-Woo Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
;
Joo-Tae Moon
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
ArF;
LER;
LWR;
transistor performance degradation;
leakage current;
threshold voltage variation;
61.
Contact Shrinkage Techniques for 157-nm Lithography
机译:
157 nm光刻的接触收缩技术
作者:
Mitsuharu Yamana
;
Masumi Hirano
;
Seiji Nagahara
;
Makoto Tominaga
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
thermal flow;
SAFIER~(TM);
RELACS~(TM);
62.
Characterization of line edge roughness in photoresist using an image fading technique
机译:
使用图像褪色技术表征光刻胶中的线条边缘粗糙度
作者:
Adam R. Pawloski
;
Alden Acheta
;
Ivan Lalovic
;
Bruno La Fontaine
;
Harry J. Levinson
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
photoresist;
line-edge-roughness (LER);
aerial image contrast;
image-log-slope (ILS);
intrinsic bias;
resist edge-log-slope (RELS);
63.
Characterization of TFEorbornene-based fluoropolymer resist for 157-nm lithography
机译:
用于157 nm光刻的TFE /降冰片烯基含氟聚合物抗蚀剂的表征
作者:
Takuya Hagiwara
;
Takamitsu Furukawa
;
Toshiro Itani
;
Kiyoshi Fujii
;
Takuji Ishikawa
;
Meiten Koh
;
Tetsuhiro Kodani
;
Tsukasa Moriya
;
Tsuneo Yamashita
;
Takayuki Araki
;
Minoru Toriumi
;
Hirokazu Aoyama
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
fluoropolymer;
tetrafluoroethylene;
norbornene;
chemically amplified resist;
protecting group;
photoacid generator;
quencher;
64.
Acid Diffusion Characteristics of RELACS~(TM) Coating for 193nm Lithography
机译:
用于193nm光刻的RELACS〜(TM)涂层的酸扩散特性
作者:
Sungeun Hong
;
Takeshi Nishibe
;
Tetsuo Okayasu
;
Kiyohisa Takahashi
;
Yusuke Takano
;
Wenbing Kang
;
Hatsuyuki Tanaka
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
acid diffusion;
chemically amplified resist;
RELACS~(TM) coating;
thermal acid gnerators;
chemical exposure;
65.
A study on the dissolution inhibition of poly norbornene hexafluoroisopropanol in aqueous base solutions
机译:
聚降冰片烯六氟异丙醇在碱溶液中的溶解抑制研究
作者:
Medhat A.Toukhy
;
Joseph Oberlander
;
Dalil Rahman
;
Francis M. Houlihan
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
66.
A new monocyclic fluoropolymer structure for 157-nm photoresists
机译:
用于157 nm光刻胶的新型单环含氟聚合物结构
作者:
Yoko Takebe
;
Masataka Eda
;
Shinji Okada
;
Osamu Yokokoji
;
Shigeo Irie
;
Akihiko Otoguro
;
Kiyoshi Fujii
;
Toshiro Itani
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
fluoropolymer;
cyclo-polymerization;
photoresist;
157-nm lithography;
transparency;
dissolution rate;
etching resistance;
67.
157-nm Resist Assessment by a Full-field Scanner
机译:
全场扫描仪进行的157 nm抵抗力评估
作者:
Akihiko Otoguro
;
Shigeo Irie
;
Toshiyuki Ishimaru
;
Toshifumi Suganaga
;
Toshiro Itani
;
Kiyoshi Fujii
会议名称:
《Advances in Resist Technology and Processing XXI pt.1》
|
2004年
关键词:
157-nm lithography;
fluorinated polymer;
full-field scanner;
FPA-5800FS1;
resolution limit;
line edge roughness;
SEM shrinkage;
bake temperature dependence;
depth of focus;
exposure latitude;
CD uniformity;
意见反馈
回到顶部
回到首页