掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
一般工业技术
>
Advances in Patterning Materials and Processes XXXII
Advances in Patterning Materials and Processes XXXII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
计量技术
照相机
品牌与标准化
福建分析测试
爆破
宁夏工程技术
质量监督与消费
质量春秋
材料导报
包装学报
更多>>
相关外文期刊
生産研究
Engineering
Composite Structures
The journal of hazmat transportation
Materials Letters
Composites. B
Food, Cosmetics and Drugs Packaging
The Journal of Strain Analysis for Engineering Design
Nature Materials
Journal of design history
更多>>
相关中文会议
2006复合材料技术与应用可持续发展工程科技论坛
2012年全国声学设计与噪声振动控制工程技术交流会
全国计量测试学术大会
2007世界华人工业设计论坛
广东省真空学会2011年学术年会
2011年全国冷冻冷藏行业与山东制冷空调行业年会暨绿色低碳新技术研讨会
全国结构振动与动力学学术研讨会暨第四届结构动力学专业委员会会议
2011年西南地区暖通热能动力及空调制冷学术年会
第三届中国环境艺术设计国际学术研讨会
第七届全国高等院校制冷空调学科发展研讨会
更多>>
相关外文会议
International institute of refrigeration
Holography, diffractive optics, and applications VIII
2017 IEEE International Conference on Technological Innovations in Communication, Control and Automation
China International Conference on High-Performance Ceramics;CICC;Satellite Symposium on Thermoelectrics;International Workshop on Layered and Graded Materials; 20051023-26;20051023-26;20051023-26;20051023-26; Chengdu(CN);Chengdu(CN);Chengdu(CN);Chengdu(C
Fundamentals of nanoindentation and nanotribology
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
ASME international design engineering technical conferences and computers and information in engineering conference 2014
NATO Advanced Study Institute on Novel Metathesis Chemistry: Designing Well-Defined Initiator Systems for Specialty Chemical Synthesis, Tailored Polymers and Advanced Material Applications; 20020921; Antalya; TR
Advances in Control, Chemical Engineering, Civil Engineering and Mechanical Engineering
Technologies for Synthetic Environments: Hardware-in-the-Loop Testing XII; Proceedings of SPIE-The International Society for Optical Engineering; vol.6544
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
The effect of resist dissolution process on pattern formation variability: an in situ analysis using high speed atomic force microscopy
机译:
抗蚀剂溶解过程对图案形成变异性的影响:使用高速原子力显微镜的原位分析
作者:
Julius Joseph Santillan
;
Motoharu Shichiri
;
Toshiro Itani
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Resist dissolution;
in situ analysis;
LER during dissolution;
contact holes;
defect mechanics;
high-speed atomic force microscopy;
2.
XAS Photoresists Electron/Quantum yields study with synchrotron light
机译:
XAS光致抗蚀剂电子/量子通过同步加速器光进行产量研究
作者:
Peter de Schepper
;
Alessandro Vaglio Pret
;
Terje Hansen
;
Angelo Giglia
;
Kenji Hoshiko
;
Antonio Mani
;
John J. Biafore
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUVL;
XAS;
TEY;
SEY;
SEB;
QY;
3.
Optimizing performance in cross-linking negative-tone molecular resists
机译:
优化交联负性分子抗蚀剂的性能
作者:
Richard A. Lawson
;
Hannah Narcross
;
Brandon Sharp
;
Jun Sung Chun
;
Mark Neisser
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
chemically amplified photoresist;
negative tone;
molecular resist;
epoxide resist;
cationic polymerization;
solvent development;
photodecomposable nucleophile;
nucleophilic quencher;
base developable;
underlayer;
4.
Effect of Molecular Resist Structure on Glass Transition Temperature and Lithographic Performance in Epoxide Functionalized Negative Tone Resists
机译:
分子抗蚀剂结构对环氧官能化负色调抗蚀剂的玻璃化转变温度和光刻性能的影响
作者:
Hannah Narcross
;
Richard A. Lawson
;
Brandon Sharp
;
Jun Sung Chun
;
Mark Neisser
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
molecular resist;
negative tone;
epoxide resist;
glass transition temperature (T_g);
cationic polymerization;
5.
Total fidelity management in self-aligned multiple patterning process
机译:
自对准多重图案化过程中的全面保真度管理
作者:
Masatoshi Yamato
;
Noriaki Okabe
;
Arisa Hara
;
Sakurako Natori
;
Shouhei Yamauchi
;
Kyohei Koike
;
Kenichi Oyama
;
Hidetami Yaegashi
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Self-aligned multiple patterning;
SADP;
SAQP;
SAMP;
LER;
local CDU;
pattern fidelity;
6.
Tailored molecular glass resists for Scanning Probe Lithography
机译:
量身定制的分子玻璃抗蚀剂,用于扫描探针光刻
作者:
Christian Neuber
;
Hans-Werner Schmidt
;
Peter Strohriegl
;
Andreas Ringk
;
Tristan Kolb
;
Andreas Schedl
;
Vincent Fokkema
;
Marijn G.A. van Veghel
;
Mike Cooke
;
Colin Rawlings
;
Urs Duerig
;
Armin Knoll
;
Jean- Francois de Marneffe
;
Ziad el Otell
;
Marcus Kaestner
;
Yana Krivoshap
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
nanomanufacturing;
molecular glass resist;
scanning probe lithography;
physical vapor deposition;
plasma etching;
7.
Effects of the statistical fluctuation of PAG and quencher concentration on LWR of ArF resists
机译:
PAG和淬灭剂浓度的统计波动对ArF抗蚀剂LWR的影响
作者:
Mitsuhiro Fujita
;
Michihiro Shirakawa
;
Shuhei Yamaguchi
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
ArF lithography;
chemically amplified resist material;
line width roughness (LWR);
line edge roughness (LER);
statistical fluctuation of sensitivity;
transparent photo acid generator;
nPAG-1Amine;
8.
Fundamental Study of Spin-coating using in-situ Analysis and Simulation
机译:
使用原位分析和模拟的旋涂基础研究
作者:
Masahiko Harumoto
;
Jun-ichi Yoshida
;
Harold Stokes
;
Yuji Tanaka
;
Tadashi Miyagi
;
Koji Kaneyama
;
Charles Pieczulewski
;
Masaya Asai
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
in-situ analysis;
simulation;
coat-develop track system;
spin coating;
high-speed video camera;
450mm wafer;
9.
Revealing beam-induced chemistry using modulus mapping in negative-tone EUV/e-beam resists with and without cross-linker additives
机译:
使用和不使用交联剂添加剂在负型EUV /电子束抗蚀剂中使用模量映射揭示射线诱导的化学反应
作者:
Prashant Kulshreshtha
;
Ken Maruyama
;
Scott Dhuey
;
Dominik Ziegler
;
Weilun Chao
;
Paul Ashby
;
Deirdre Olynick
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Peak Force Tapping;
Modulus;
E-beam pattern;
AFM;
pattern collapse;
chemically amplified;
bias;
high resolution;
10.
Understanding the efficacy of linewidth roughness post-processing
机译:
了解线宽粗糙度后处理的功效
作者:
Chris A. Mack
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
line-edge roughness;
linewidth roughness;
LER;
LWR;
power spectral density;
PSD;
postprocessing;
smoothing;
11.
Influence of Etch Process on Contact Hole Local Critical Dimension Uniformity in Extreme Ultraviolet Lithography
机译:
极紫外光刻工艺中蚀刻工艺对接触孔局部临界尺寸均匀性的影响
作者:
Gian F. Lorusso
;
Ming Mao
;
Liesbeth Reijnen
;
Katja Viatkina
;
Roel Knops
;
Gijsbert Rispens
;
Timon Fliervoet
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV Lithography;
LCDU;
Stochastic Noise;
Etch;
CH;
12.
Organic Hard Masks Utilizing Fullerene Derivatives
机译:
利用富勒烯衍生物的有机硬质口罩
作者:
Andreas Frommhold
;
Alan G. Brown
;
Richard E. Palmer
;
Tom Lada
;
Alex P. G. Robinson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Spin-on Carbon;
Organic Hard Mask;
Fullerene;
ICP Silicon Etching;
13.
Material Readiness for Generation 2 Directed Self-Assembly (DSA) < 24nm Pitch
机译:
小于24nm间距的第二代定向自组装(DSA)的材料准备
作者:
Eungnak Han
;
Todd R. Younkin
;
Manish Chandhok
;
Alan M. Myers
;
Tristan A. Tronic
;
Florian Gstrein
;
Kranthi Kumar Elineni
;
Ashish Gaikwad
;
Paul A. Nyhus
;
Praveen K. Setu
;
Charles H. Wallace
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Block Copolymer (BCP);
Directed Self-Assembly (DSA);
High Chi BCP;
Graphoepitaxy;
Neutral brush;
14.
Directed self-assembly of topcoat-free, integration-friendly high-χ block copolymers
机译:
无面涂层,易整合的高χ嵌段共聚物的定向自组装
作者:
Eri Hirahara
;
Margareta Paunescu
;
Orest Polishchuk
;
EunJeong Jeong
;
Edward Ng
;
Jianhui Shan
;
Jihoon Kim
;
SungEun Hong
;
Durairaj Baskaran
;
Guanyang Lin
;
Ankit Vora
;
Melia Tjio
;
Noel Arellano
;
Charles T. Rettner
;
Elizabeth Lofano
;
Chi-Chun Liu
;
Hsinyu Tsai
;
Anindarupa Chund
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
organic high-χ block copolymers;
topcoat-free;
perpendicular lamellae;
graphoepitaxy;
chemoepitaxy;
directed self-assembly;
pattern development;
15.
Driving DSA into Volume Manufacturing
机译:
推动DSA进入批量生产
作者:
Mark Somervell
;
Takashi Yamauchi
;
Soichiro Okada
;
Tadatoshi Tomita
;
Takanori Nishi
;
Shinichiro Kawakami
;
Makato Muramatsu
;
Etsuo Iijima
;
Vinayak Rastogi
;
Takeo Nakano
;
Fumiko Iwao
;
Seiji Nagahara
;
Hiroyuki Iwaki
;
Makiko Dojun
;
Kochi Yatsuda
;
Toshikatsu Tobana
;
Ainhoa Rom
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
16.
The role of guide stripe chemistry in block copolymer directed self assembly
机译:
导向条化学在嵌段共聚物定向自组装中的作用
作者:
Robert Seidel
;
Lance Williamson
;
YoungJun Her
;
Jihoon Kim
;
Guanyang Lin
;
Paul Nealey
;
Roel Gronheid
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
chemoepitaxy;
directed self-assembly;
block copolymer;
interfacial energy;
17.
Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns
机译:
由ArFi预图案引导的化学外延DSA对接触孔的亚25nm半间距六角形六边形阵列进行构图
作者:
Arjun Singh
;
Boon Teik Chan
;
Doni Parnell
;
Hengpeng Wu
;
Jian Yin
;
Yi Cao
;
Roel Gronheid
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
DSA;
frequency multiplication;
block copolymer;
chemo-epitaxy;
hexagonal array;
cylindrical phase;
pillars;
contact holes;
18.
DSA graphoepitaxy calibrations for contact hole multiplication
机译:
用于接触孔倍增的DSA石墨外延校准
作者:
Trey Graves
;
Alessandro Vaglio Pret
;
Stewart Robertson
;
Mark Smith
;
Jan Doise
;
Joost Bekaert
;
Roel Gronheid
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
DSA;
graphoepitaxy;
SCFT;
calibration;
physical modeling;
19.
Development and Integration of systems with enhanced resolutions based on Si-containing block copolymers for line space applications
机译:
基于含硅嵌段共聚物的,具有高分辨率的系统的开发和集成,用于行空间应用
作者:
G. Fleury
;
K. Aissou
;
M. Mumtaz
;
X. Chevalier
;
C. Nicolet
;
C. Navarro
;
M. Fernandez-Regulez
;
P. Pimenta-Barros
;
R. Tiron
;
C. Brochon
;
E. Cloutet
;
G. Hadziioannou
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
lithography;
block copolymer;
high segregation strength;
graphoepitaxy;
20.
Analysis of the self-assembling and the defect annihilation processes in DSA using meso-scale simulation
机译:
中尺度模拟分析DSA中的自组装和缺陷an灭过程
作者:
Hiroshi Morita
;
Yuki Norizoe
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Meso scale simulation;
Dissipative particle dynamics method;
Dynamics of polymer chain;
Defect annihilation;
OCTA;
21.
Dry development rinse (DDR) process and material for ArF/EUV extension technique toward 1Xnm hp and beyond
机译:
干法显影冲洗(DDR)工艺和ArF / EUV扩展技术的材料,功率达到1Xnm甚至更高
作者:
Shuhei Shigaki
;
Ryuji Onishi
;
Rikimaru Sakamoto
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Pattern collapse;
Dry Development Rinse process(DDR process);
Dry Development Rinse material (DDR material);
22.
Sustainability and applicability of Spacer-related patterning towards 7nm node
机译:
间隔物相关图案在7nm节点上的可持续性和适用性
作者:
Kenichi Oyama
;
Shohei Yamauchi
;
Arisa Hara
;
Sakurako Natori
;
Masatoshi Yamato
;
Noriaki Okabe
;
Kyohei Koike
;
Hidetami Yaegashi
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Self-aligned multiple patterning;
Fin FET;
SRAM;
7nm node;
23.
Block co-polymer approach for CD uniformity and placement error improvement in DSA hole graphoepitaxy process
机译:
用于DSA孔石墨外延工艺中CD均匀性和位置误差改善的嵌段共聚物方法
作者:
Tasuku Matsumiya
;
Tsuyoshi Kurosawa
;
Masahito Yahagi
;
Hitoshi Yamano
;
Ken Miyagi
;
Takaya Maehashi
;
Issei Suzuki
;
Akiya Kawaue
;
Yoshitaka Komuro
;
Taku Hirayama
;
Katsumi Ohmori
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Directed self-assembly (DSA);
Block copolymer (BCP);
PS-b-PMMA;
Graphoepitaxy;
Contact hole;
CD uniformity;
Placement error;
24.
Progress in Spin-on Metal Oxide Hardmask Materials for Filling Applications
机译:
用于填充的旋涂金属氧化物硬掩模材料的研究进展
作者:
Huirong Yao
;
Alberto D. Dioses
;
Salem Mullen
;
Elizabeth Wolfer
;
Douglas McKenzie
;
Dalil Rahman
;
JoonYeon Cho
;
Munirathna Padmanaban
;
Claire Petermann
;
YoungJun Her
;
Yi Cao
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Spin-on;
metal oxide hardmask;
etch resistance;
filling;
dielectric constant;
shelf-life;
and wet-etching;
25.
Coater/Developer Process Integration of Metal-Oxide Based Photoresist
机译:
基于金属氧化物的光刻胶的涂布/显影工艺集成
作者:
Benjamin L. Clark
;
Michael Kocsis
;
Michael Greer
;
Andrew Grenville
;
Takashi Saito
;
Lior Huli
;
Richard Farrell
;
David Hetzer
;
Shan Hu
;
Hiroie Matsumoto
;
Andrew Metz
;
Shinichiro Kawakami
;
Koichi Matsunaga
;
Masashi Enomoto
;
Jeffrey Lauerhaas
;
Anthony Ratkovich
;
David DeKrak
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV photoresist;
metal oxide photoresist;
inorganic negative tone resist;
metal contamination;
dry etch rate;
wet clean compatibility;
26.
Directly patternable dielectric based on fluorinated polyimide
机译:
基于氟化聚酰亚胺的可直接图案化电介质
作者:
Andrew R. Dick
;
William K. Bell
;
Brendan Luke
;
Erin Maines
;
Brennan Mueller
;
Paul A. Kohl
;
Brandon Rawlings
;
C. Grant Willson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Photosensitive polyimide;
Coefficient of thermal expansion;
Thick films;
High aspect ratio;
Dielectric;
27.
Measurement of acid diffusion from PAG in photoresists by using TOF-SIMS with GCIB
机译:
使用TOF-SIMS和GCIB测量PAG在光致抗蚀剂中的酸扩散
作者:
Naoki Man
;
Atsushi Sekiguchi
;
Yoko Matsumoto
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Photoresist;
TOF-SIMS;
GCIB;
acid diffusion;
PAG;
top coat;
deprotection;
chemical amplified resist;
28.
Light-scattering thermal cross-linking material using morphology of nanoparticle free polymer blends
机译:
利用无纳米粒子共混物形态的光散射热交联材料
作者:
Satoshi Takei
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
self-assembly;
morphology;
thermal cross-link material;
liquid crystal display;
light emitting diode;
29.
High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography
机译:
用于EB和EUV光刻的高灵敏度绿色抗蚀剂材料,具有无有机溶剂旋涂和无四甲基氢氧化铵的可水显影工艺
作者:
Satoshi Takei
;
Makoto Hanabata
;
Akihiro Oshima
;
Miki Kashiwakura
;
Takahiro Kozawa
;
Seiichi Tagawa
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EB lithography;
EUV lithography;
NEMS;
MEMS;
sugar polymer;
plant products;
green lithography;
biomass;
30.
Evaluation of novel lactone derivatives for chemically amplified EUV resists
机译:
评价新型内酯衍生物用于化学增幅EUV抗蚀剂
作者:
Hiroyasu Tanaka
;
Tetsuhiko Mizusaka
;
Hiroyuki Tanagi
;
Kikuo Furukawa
;
Hiroki Yamamoto
;
Takahiro Kozawa
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
lactone;
EUV;
sensitivity;
proton affinity;
electron beam;
31.
Base developable negative-tone molecular resist based on epoxide cross-linking
机译:
基于环氧化物交联的基础可显影负性分子抗蚀剂
作者:
Brandon Sharp
;
Richard A. Lawson
;
Ashten Fralick
;
Hannah Narcross
;
Jun Sung Chun
;
Mark Neisser
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
molecular resist;
negative tone;
aqueous soluble;
epoxide resist;
cationic polymerization;
32.
Top-coatless 193nm positive tone development immersion resist for logic application
机译:
用于逻辑应用的无涂层193nm正色调显影沉浸抗蚀剂
作者:
Lian Cong Liu
;
Tsung Ju Yeh
;
Yeh-Sheng Lin
;
Yu Chin Huang
;
Chien Wen Kuo
;
Wen Liang Huang
;
Chia Hung Lin
;
Chun Chi Yu
;
Ray Hsu
;
I-Yuan Wan
;
Jeff Lin
;
Kwang-Hwyi Im
;
Hae Jin Lim
;
Hyun K. Jeon
;
Yasuhiro Suzuki
;
Cheng Bai Xu
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Top-coatless 193nm immersion resist;
logic application;
positive tone development contact hole;
CD uniformity;
contact edge roughness and defect;
33.
A comprehensive approach for micro and multiple bridge mitigation in immersion photolithography
机译:
浸没式光刻中减轻微桥和多桥的综合方法
作者:
L. DUrzo
;
W. Schollaert
;
X. Buch
;
H. Stokes
;
Y. Thouroude
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
immersion lithography;
Point-of-use filtration;
microbridge;
34.
Thickness Optimization for Lithography Process on Silicon Substrate
机译:
硅基板上光刻工艺的厚度优化
作者:
Xiaojing Su
;
Yajuan Su
;
Yansong Liu
;
Fong Chen
;
Zhimin Liu
;
Wei Zhang
;
Bifeng Li
;
Tao Gao
;
Yayi Wei
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
reflectivity;
film stack thickness optimization;
process window;
CDU;
35.
Advanced Shrink Material for NTD process with lower Y/X shrinkage bias of elongated patterns
机译:
适用于NTD工艺的高级收缩材料,伸长图案的Y / X收缩偏差更低
作者:
Yoshihiro Miyamoto
;
Takashi Sekito
;
John Sagan
;
Yuko Horiba
;
Takafumi Kinuta
;
Tatsuro Nagahara
;
Shinji Tarutani
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Negative tone shrink materials (NSM);
NTD elongated pattern;
Y/X shrinkage bias;
36.
Microbridge reduction in negative tone imaging at photoresist point-of-use filtration
机译:
光刻胶使用点过滤时负色调成像中的微桥减少
作者:
Toru Umeda
;
Tsukasa Yamanaka
;
Naoya Iguchi
;
Shuichi Tsuzuki
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Microbridge;
Bridge defect;
negative tone imaging;
photoresist;
immersion lithography;
37.
Blending approaches to enhance structural order in block-copolymer's self-assemblies.
机译:
增强嵌段共聚物自组装结构顺序的混合方法。
作者:
X. Chevalier
;
C. Nicolet
;
A. Gharbi
;
P. Pimenta-Barros
;
R. Tiron
;
G. Fleury
;
G.Hadziioannou
;
I. Iliopoulos
;
C. Navarro
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
block copolymer;
PS-b-PMMA;
block copolymer blends;
self-assembly;
38.
Aromatizing unzipping polyester for EUV photoresist
机译:
用于EUV光刻胶的芳香化解压聚酯
作者:
Kensuke Matsuzawa
;
Ryan Mesch
;
Michael Olah
;
Wade Wang
;
Scott T. Phillips
;
C. Grant Willson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
non-CAR;
chain scission;
EUV lithography;
39.
Nanoimprint lithography for green water-repellent film derived from biomass with high-light transparency
机译:
纳米压印光刻技术用于绿色生物膜的高防水性
作者:
Satoshi Takei
;
Makoto Hanabata
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
nanoimprint lithography;
plant-based materials;
defect less process;
light transparency film;
40.
Development of Spin-on Metal Hardmask (SOMHM) for Advanced Node
机译:
用于高级节点的旋涂金属硬掩模(SOMHM)的开发
作者:
Shintaro Yamada
;
Deyan Wang
;
Vivian Chuang
;
Cong Liu
;
Sabrina Wong
;
Michael B. Clark
;
Charlotte Cutler
;
William Williams
;
Paul Baranowski
;
Mingqi Li
;
Joe Mattia
;
JoAnne Leonard
;
Peter Trefonas
;
Kathleen OConnell
;
Cheng bai Xu
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Spin-on;
metal hard mask;
antireflectant;
wet removal;
dry etching;
thermal stability;
41.
Novel thin film analysis to investigate actual film formation
机译:
新颖的薄膜分析可研究实际的薄膜形成
作者:
Kazunori Sakai
;
Kenji Mochida
;
Shinichi Nakamura
;
Tooru Kimura
;
Kazuhiro Yoshikawa
;
Naoki Man
;
Hirofumi Seki
;
Masaaki Takeda
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Underlayer;
Wiggling;
GCIB-XPS;
42.
Cost effective processes by using negative tone development application
机译:
通过使用负面基调开发应用程序来实现具有成本效益的流程
作者:
Kei Yamamoto
;
Keita Kato
;
Keiyu Ou
;
Michihiro Shirakawa
;
Sou Kamimura
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
negative tone development;
cost effective process;
KrF-NTD resist;
litho-litho-etch process;
43.
Evaluation of Novel Processing Approaches to Improve Extreme Ultraviolet (EUV) Photoresist Pattern Quality
机译:
评估改善极端紫外线(EUV)光致抗蚀剂图案质量的新型处理方法
作者:
Cecilia Montgomery
;
Jun Sung Chun
;
Yu-Jen Fan
;
Shih-Hui Jen
;
Mark Neisser
;
Kevin Cummings
;
Warren Montgomery
;
Takashi Saito
;
Lior Huli
;
David Hetzer
;
Hiroie Matsumoto
;
Andrew Metz
;
Vinayak Rastogi
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Extreme Ultraviolet Lithography (EUVL);
Self-Align Double Patterning (SADP);
44.
Recent progress in Multi-patterning Approach to pattern placement correction
机译:
多重图案化方法对图案放置校正的最新进展
作者:
Hidetami Yaegashi
;
Kenichi Oyama
;
Arisa Hara
;
Sakurako Natori
;
Shohei Yamauchi
;
Masatoshi Yamato
;
Noriaki Okabe
;
Kyohei Koike
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
SAMP;
EPE;
Pattern placement 1D layout;
LER;
Smoothing;
hole shrink;
PSD;
45.
Towards 11 nm half-pitch Resolution for a Negative-tone Chemically Amplified Molecular Resist Platform for EUV Lithography
机译:
用于EUV光刻的负性化学放大分子抗蚀剂平台的半间距分辨率接近11 nm
作者:
Andreas Frommhold
;
Alexandra McClelland
;
Dongxu Yang
;
Richard E. Palmer
;
John Roth
;
Yasin Ekinci
;
Mark C. Rosamund
;
Alex P. G. Robinson
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV Lithography;
Molecular Resist;
Chemically Amplified Resist;
46.
Recent progress of negative-tone imaging with EUV exposure
机译:
EUV曝光的负像成像的最新进展
作者:
Toru Fujimori
;
Toru Tsuchihashi
;
Toshiro Itani
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV exposure;
negative-tone imaging (NTI);
organic solvent development;
chemical amplified resist;
47.
Inhomogeneity of PAGs in a hybrid-type EUV resist system studied by molecular-dynamics simulations for EUV lithography
机译:
混合型EUV光刻胶系统中PAG的不均匀性通过EUV光刻的分子动力学模拟研究
作者:
Minoru Toriumi
;
Toshiro Itani
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
resist materials;
molecular simulation;
molecular dynamics;
inhomogeneity;
PAG distribution;
48.
Advanced patterning approaches based on negative tone development (NTD) process for further extension of 193 nm immersion lithography
机译:
基于负色调显影(NTD)工艺的高级图案化方法可进一步扩展193 nm浸没式光刻
作者:
Michihiro Shirakawa
;
Naoki Inoue
;
Hajime Furutani
;
Kei Yamamoto
;
Akiyoshi Goto
;
Mitsuhiro Fujita
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Negative tone development;
immersion lithography extension;
contrast enhancement;
Dual tone development;
49.
Process Variation Challenges and Resolution in the Negative Tone Develop Double Patterning for 20 nm and Below Technology Node
机译:
负色调中的工艺变化挑战和解决方案开发了适用于20 nm及以下技术节点的双图案
作者:
Sohan S Mehta
;
Lakshmi K Ganta
;
Vikrant Chauhan
;
Yixu Wu
;
Sunil Singh
;
Chia Ann
;
Lokesh Subramany
;
Craig Higgins
;
Burcin Erenturk
;
Ravi Srivastava
;
Paramjit Singh
;
Hui Peng Koh
;
David Cho
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
LELE;
NTD;
dose slope;
CD;
50.
Impact of Sequential Infiltration Synthesis on pattern fidelity of DSA lines
机译:
顺序渗透合成对DSA系花型保真度的影响
作者:
Arjun Singh
;
Werner Knaepen
;
Safak Sayan
;
Ziad el Otell
;
Boon Teik Chan
;
Jan Willem Maes
;
Roel Gronheid
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
SIS;
sequential infiltration synthesis;
synthesis in situ;
DSA;
directed self-assembly;
LER;
LWR;
metallic mask;
51.
Directed Self-Assembly Process Integration - Fin Patterning Approaches and Challenges
机译:
定向自组装过程集成-鳍图案化方法和挑战
作者:
Safak Sayan
;
BT Chan
;
Taisir Marzook
;
Nadia Vandenbroeck
;
Efrain A. Sanchez
;
Roel Gronheid
;
Arjun Singh
;
Paulina R. Delgadillo
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Directed self-assembly;
DSA;
fin patterning;
overlay;
alignment mark;
52.
Integrated Fab Process for Metal Oxide EUV Photoresist
机译:
金属氧化物EUV光刻胶的集成Fab工艺
作者:
Andrew Grenville
;
Jeremy T. Anderson
;
Benjamin L. Clark
;
Peter De Schepper
;
Joseph Edson
;
Michael Greer
;
Kai Jiang
;
Michael Kocsis
;
Stephen T. Meyers
;
Jason K. Stowers
;
Alan J. Telecky
;
Danilo De Simone
;
Geert Vandenberghe
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV photoresist;
metal oxide photoresist;
patternable hardmask;
inorganic negative tone resist;
53.
High-Sensitivity Molecular Organometallic Resist for EUV (MORE)
机译:
EUV的高灵敏度分子有机金属抗蚀剂(更多)
作者:
James Passarelli
;
Michael Murphy
;
Ryan Del Re
;
Miriam Sortland
;
Levi Dousharm
;
Michaela Vockenhuber
;
Yasin Ekinci
;
Mark Neisser
;
Daniel A. Freedman
;
Robert L. Brainard
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
54.
Development of EUV Chemically Amplified Resist which has Novel Protecting Group
机译:
具有新型保护基的EUV化学增强型抗蚀剂的研制
作者:
Shogo Matsumaru
;
Tatsuya Fujii
;
Takashi Kamizono
;
Kenta Suzuki
;
Hiroto Yamazaki
;
Masatoshi Arai
;
Yoshitaka Komuro
;
Akiya Kawaue
;
Daisuke Kawana
;
Taku Hirayama
;
Katsumi Ohmori
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
EUV Lithography;
Chemically amplified resist;
Protecting group;
High quantum yield PAG;
55.
Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resist
机译:
像素剂量优化对EUV抗蚀剂上氦离子束光刻的图案保真度的影响
作者:
Nima Kalhor
;
Wouter Mulckhuyse
;
Paul Alkemade
;
Diederik Maas
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Scanning helium ion beam lithography;
EUV lithography;
Chemically amplified resist;
Metrology;
Dose optimization modeling;
Ion shot noise;
Critical dimension;
Line-width roughness;
56.
Dry Development Rinse Process for Ultimate Resolution Improvement via Pattern Collapse mitigation
机译:
干显影冲洗过程可通过减少图案塌陷来提高最终分辨率
作者:
Safak Sayan
;
Zheng Tao
;
BT Chan
;
Danilo De Simone
;
Yuhei Kuwahara
;
Kathleen Nafus
;
Michael J. Leeson
;
Florian Gstrein
;
Arjun Singh
;
Geert Vandenberghe
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Dry Development Rinse Process;
DDRP;
Dry Development Rinse Material;
DDRM;
Pattern collapse;
ultimate resolution;
line width roughness;
LWR;
exposure latitude;
57.
Aqueous-based thick photoresist removal for bumping applications
机译:
水性厚光阻剂的去除,用于凸点应用
作者:
John C. Moore
;
Alex J. Brewer
;
Alman Law
;
Jared M. Pettit
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
thick photoresist;
aqueous;
bumping;
lift-off;
58.
The effect of resist material composition on development behavior
机译:
抗蚀剂材料组成对显影行为的影响
作者:
Shinya Minegishi
;
Toshiro Itani
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
Extreme ultraviolet lithography;
Resist formulation;
Uniformity;
Resist analysis;
AFM;
59.
Point-of-use filtration strategy for negative tone developer in extended immersion and extreme ultraviolet (EUV) lithography
机译:
负离子显影剂在扩展浸入式和极紫外(EUV)光刻中的使用点过滤策略
作者:
L. DUrzo
;
P. Foubert
;
H. Stokes
;
Y. Thouroude
;
A. Xia
;
Aiwen Wu
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
NTD;
ArF-i;
EUV;
Point-of-use (POU);
PTFE;
UPE;
60.
Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL
机译:
开发新的黄原二醇衍生物,用于EB / EUVL的负性分子抗蚀剂
作者:
Takumi Toida
;
Akihiro Suzuki
;
Naoya Uchiyama
;
Takashi Makinoshima
;
Masaaki Takasuka
;
Takashi Sato
;
Masatoshi Echigo
会议名称:
《Advances in Patterning Materials and Processes XXXII》
|
2015年
关键词:
xanthendiol;
molecular resist;
negative-tone;
EB;
lithography;
LER;
意见反馈
回到顶部
回到首页