掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Conference on extreme ultraviolet (EUV) lithography VIII
Conference on extreme ultraviolet (EUV) lithography VIII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Driving down defect density in composite EUV patterning film stacks
机译:
在复合EUV图案化薄膜叠层中驱逐缺陷密度
作者:
Luciana Meli
;
Karen Petrillo
;
Anuja De Silva
;
John Arnold
;
Nelson Felix
;
Richard Johnson
;
Cody Murray
;
Alex Hubbard
;
Danielle Durrant
;
Koichi Hontake
;
Lior Huli
;
Corey Lemley
;
Dave Hetzer
;
Shinichiro Kawakami
;
Koichi Matsunaga
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
defectivity;
patterning stack;
collapse;
adhesion;
thin film;
underlayer;
2.
Influence of Post Exposure Bake time on EUV photoresist RLS trade-off
机译:
曝光后烘烤时间对EUV光致抗蚀剂RLS折衷的影响
作者:
Yannick Vesters
;
Danilo De Simone
;
Stefan De Gendt
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV photoresist;
CAR;
acid diffusion;
PEB;
Post Exposure Bake;
LWR;
3.
Reticle enhancement techniques towards iN7 Metal2
机译:
展示IN7 METAL2的掩模版增强技术
作者:
W. Gillijns
;
L.E. Tan
;
Y. Drissi
;
V. Blanco
;
D. Trivkovic
;
R.H. Kim
;
E. Gallagher
;
G. McIntyre
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
SMO;
OPC;
EUV;
ASML NXE;
N7;
N5;
M2;
MRC;
4.
Investigating surface structures by EUV scattering
机译:
通过EUV散射调查表面结构
作者:
Victor Soltwisch
;
Christian Laubis
;
Analia Fernandez Herrero
;
Mika Pflueger
;
Anton Haase
;
Frank Scholze
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Soft X-ray;
MCMC;
Scatterometry;
EUV;
Maxwell solver;
finite-element;
CD-Metrology;
5.
Ultrathin EUV patterning stack using polymer brush as an adhesion promotion layer
机译:
超薄EUV图案化叠层使用聚合物刷作为粘合促进层
作者:
Indira Seshadri
;
Anuja De Silva
;
Luciana Meli
;
Charlie Liu
;
Cheng Chi
;
Jing Guo
;
Hoa Truang
;
Kristin Schmidt
;
John C Arnold
;
Nelson Felix
;
Lovejeet Singh
;
Tsuyoshi Furukawa
;
Ramakrishnan Ayothi
;
Angelique Raley
;
Richard Farrell
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
6.
Key components technology update of the 250W High Power LPP-EUV light source
机译:
主要组件技术更新250W高功率LPP-EUV光源
作者:
Kawasuji Yasufumi
;
Krzysztof M Nowak
;
Tsukasa Hori
;
Takeshi Okamoto
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tamotsu Abe
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Hiroaki Nakarai
;
Taku Yamazaki
;
Shinji
;
Okazaki
;
Takashi Saito
;
Hakaru Mizoguchi
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV light source;
EUV lithography;
Laser Produced Plasma;
Tin;
CO_2 laser;
Droplet generator;
Collector mirror;
Debris mitigation;
7.
Impact of acid statistics on EUV local critical dimension uniformity
机译:
酸统计对EUV局部关键尺寸均匀性的影响
作者:
Jing Jiang
;
Danilo De Simone
;
Oktay Yildirim
;
Marieke Meeuwissen
;
Rik Hoefnagels
;
Gijsbert Rispens
;
Rolf Custers
;
Paul Derks
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
LCDU;
analytical model;
contact holes patterning;
resist design;
8.
Impact of non-uniform wrinkles for a multi-stack pellicle in EUV lithography
机译:
非均匀皱纹对EUV光刻中的多堆叠薄膜的影响
作者:
Guk-Jin Kim
;
In-Seon Kim
;
Michael Yeung
;
Min-Su Kim
;
Jin-Goo Park
;
Hye-Keun Oh
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV pellicle;
Multi-stack pellicle;
Wrinkled multi-stack pellicle;
Pellicle deformation;
9.
Absorption coefficient and exposure kinetics of photoresists at EUV
机译:
EUV光致抗蚀剂的吸收系数和曝光动力学
作者:
Roberto Fallica
;
Jarich Haitjema
;
Lianjia Wu
;
Sonia Castellanos
;
Fred Brouwer
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
absorption coefficient;
Dill Parameter;
exposure kinetics;
quantum yield;
tin cage;
oxocluster;
CAR;
10.
Actinic Review of EUV Masks: Performance Data and Status of the AIMS~(?) EUV System
机译:
EUV掩模的活动综述:绩效数据和AIMS的状态〜(?)EUV系统
作者:
Dirk Hellweg
;
Markus Koch
;
Sascha Perlitz
;
Martin Dietzel
;
Renzo Capelli
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Mask metrology;
AIMS~(?);
Aerial image review;
EUV;
scanner emulation;
defect review;
EUV optics;
11.
Comprehensive Analysis of Line-Edge and Line-Width Roughness for EUV Lithography
机译:
EUV光刻线边缘和线宽粗糙度综合分析
作者:
Ravi Bonam
;
Chi-Chun Liu
;
Mary Breton
;
Stuart Sieg
;
Indira Seshadri
;
Nicole Saulnier
;
Jeffrey Shearer
;
Raja Muthinti
;
Raghuveer Patlolla
;
Huai Huang
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Lithography;
Line Edge Roughness;
Line Width Roughness;
EUV Mask;
Programmed Roughness Structures;
Symmetric roughness;
Asymmetric roughness;
12.
Advanced Development Techniques for Metal-Based EUV Resists
机译:
基于金属的EUV抗蚀剂的先进开发技术
作者:
Jodi Hotalen
;
Michael Murphy
;
William Earley
;
Michaela Vockenhuber
;
Yasin Ekinci
;
Daniel A. Freedman
;
Robert L. Brainard
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
extreme ultraviolet;
organometallic;
resist;
positive-tone;
photoresist;
contrast;
cobalt;
oxalate;
13.
New methodologies for lower-K1 EUV OPC and RET optimization
机译:
低K1 EUV OPC和RET优化的新方法
作者:
Kevin Hooker
;
Aram Kazarian
;
Xibin Zhou
;
Josh Tuttle
;
Guangming Xiao
;
Yunqiang Zhang
;
Kevin Lucas
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Inverse lithography (ILT);
EUV lithography;
OPC;
RET;
SRAFs;
14.
Modeling EUVL Patterning Variability for Metal Layers in 5nm Technology Node and Its Effect on Electrical Resistance
机译:
建模5NM技术节点金属层的EUVL图案化变异及其对电阻的影响
作者:
Weimin Gao
;
Victor Blanco
;
Vicky Philipsen
;
Itaru Kamohara
;
Yves Saad
;
Ivan Ciofi
;
Lawrence S. Melvin III
;
Eric Hendrickx
;
Vincent Wiaux
;
Ryoung Han Kim
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV resist model;
Mask 3D effects;
Line width roughness;
Overlay error;
CD uniformity;
Stochastic model;
interconnect resistance;
15.
Image-Based Pupil Plane Characterization for Anamorphic Lithography Systems
机译:
变形光刻系统的基于图像的瞳孔平面特征
作者:
Zac Levinson
;
Bruce W. Smith
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
EUV aberrations;
anamorphic optics;
aberration metrology;
image-based aberration metrology;
pupil characterization;
16.
Improvements in resist performance towards EUV HVM
机译:
抗抵抗抗抗抗体HVM的性能
作者:
Oktay Yildirim
;
Elizabeth Buitrago
;
Rik Hoefnagels
;
Marieke Meeuwissen
;
Sander Wuister
;
Gijsbert Rispens
;
Anton van Oosten
;
Paul Derks
;
Jo Finders
;
Michaela Vockenhuber
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
LCDU;
EUV resists;
CAR;
Non-CAR;
photoresist;
EUV lithography;
sub10 nm;
5nm node;
3nm node;
17.
Printability and Actinic AIMS? Review of Programmed Mask Blank Defects
机译:
可印刷性和散装症状?审查编程面膜空白缺陷
作者:
Erik Verduijn
;
Pawitter Mangat
;
Obert Wood
;
Jed Rankin
;
Yulu Chen
;
Francis Goodwin
;
Renzo Capelli
;
Sascha Perlitz
;
Dirk Hellweg
;
Ravi Bonam
;
Shravan Matham
;
Nelson Felix
;
Daniel Corliss
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
multilayer defects;
phase defects;
defect printability actinic inspection;
mask inspection;
defect mitigation;
18.
Irresistible Materials Multi-Trigger Resist 'The Journey towards High Volume Manufacturing Readiness.'
机译:
不可抗拒的材料多触发抗拒“高批量制造准备的旅程”。
作者:
Warren Montgomery
;
Alexandra McClelland
;
David Ure
;
John Roth
;
Alex P.G. Robinson
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Photoresist;
high resolution;
volume manufacturing;
contacts holes;
molecular;
resolution;
19.
Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner
机译:
启用Sub-10nm节点光刻:呈现NXE:3400B EUV扫描仪
作者:
Mark van de Kerkhof
;
Hans Jasper
;
Leon Levasier
;
Rudy Peeters
;
Roderik van Es
;
Jan-Willem Bosker
;
Alexander Zdravkov
;
Egbert Lenderink
;
Fabrizio Evangelista
;
Par Broman
;
Bartosz Bilski
;
Thorsten Last
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
CD Uniformity;
Overlay;
Focus;
Productivity;
Pellicle;
20.
Investigation of Alternate Mask Absorbers in EUV Lithography
机译:
EUV光刻中备用面罩吸收剂的研究
作者:
Martin Burkhardt
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
absorber;
resolution;
low-k_1;
phasor;
contrast;
21.
Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography
机译:
EUV光刻高分辨率XMT多触发抗蚀剂的灵敏度增强
作者:
Carmen Popescu
;
Andreas Frommhold
;
Alexandra McClelland
;
John Roth
;
Yasin Ekinci
;
Alex P.G. Robinson
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
molecular resist;
multi-trigger resist;
chemical amplification;
resist sensitivity;
22.
Single expose patterning development for EUV Lithography
机译:
EUV光刻的单一暴露图案化开发
作者:
Anuja De Silva
;
Karen Petrillo
;
Luciana Meli
;
Jeffrey C. Shearer
;
Genevieve Beique
;
Lei Sun
;
Indira Seshadri
;
Taehwan Oh
;
Seulgi Han
;
Nicole Saulnier
;
Joe Lee
;
John C. Arnold
;
Bassem Hamieh
;
Nelson M. Felix
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
23.
Contrast Curves for Low Energy Electron Exposures of an EUV Resist in a Scanning Electron Microscope
机译:
扫描电子显微镜中EUV抗蚀剂低能量电子曝光的对比度曲线
作者:
Suchit Bhattarai
;
Andrew R. Neureuther
;
Patrick P. Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Scanning electron microscopy;
sample charging;
electron deceleration technology;
24.
Rigorous 3D electromagnetic simulation of ultrahigh efficiency EUV contact-hole printing with chromeless phase shift mask
机译:
具有无光丝相移掩模的超高效率EUV接触孔印刷严格的3D电磁仿真
作者:
Stuart Sherwin
;
Thomas V. Pistor
;
Andrew Neureuther
;
Patrick Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
Phase-Shift Mask;
Etched Multilayer;
FTTD;
simulation;
25.
Contribution of EUV mask CD variability on LCDU
机译:
EUV掩模CD可变性对LCDU的贡献
作者:
Zhengqing John Qi
;
Jed Rankin
;
Lei Sun
;
Harry Levinson
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
26.
Mechanisms of EUV Exposure: Electrons and Holes
机译:
EUV曝光机制:电子和孔
作者:
Amrit Narasimhan
;
Steven Grzeskowiak
;
Christian Ackerman
;
Tracy Flynn
;
Greg Denbeaux
;
Robert L. Brainard
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
electrons;
PAG;
electron trapping;
hole chemistry;
27.
Minimizing Wafer Overlay Errors due to EUV Mask Non-Flatness and Thickness Variations for N7 Production
机译:
最小化晶片覆盖误差由于EUV掩模非平坦度和N7生产的厚度变化
作者:
Xuemei Chen
;
Christina Turley
;
Jed Rankin
;
Tim Brunner
;
Allen Gabor
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
N7 EUV;
Non-Telecentricity;
Mask Blanks;
In-plane distortion;
Out-of-plane distortion;
Flatness;
Thickness Variation;
Overlay;
Image Placement Error;
Higher Order Intrafield Correction;
Mask Write Compensation;
Legendre Polynomial;
28.
Optimization of stochastic EUV resist models parameters to mitigate line edge roughness
机译:
随机EUV抗蚀剂模型参数的优化,减轻线边缘粗糙度
作者:
John J. Biafore
;
Azat Latypov
;
Anindarupa Chunder
;
Andy Brendler
;
Harry J. Levinson
;
Todd Bailey
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
extreme ultraviolet lithography;
EUV;
photoresist;
optimization;
line-edge roughness;
LER;
29.
Novel membrane solutions for the EUV pellicle: better or not?
机译:
EUV薄膜的新型膜溶液:更好或更好?
作者:
Ivan Pollentier
;
Jae Uk Lee
;
Marina Timmermans
;
Christoph Adelmann
;
Houman Zahedmanesh
;
Cedric Huyghebaert
;
Emily E. Gallagher
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
EUV mask;
pellicle;
defect mitigation;
particle adders;
carbon nanotube;
30.
Mix-and-Match Considerations for EUV Insertion in N7 HVM
机译:
N7 HVM中EUV插入的混合和匹配考虑因素
作者:
Xuemei Chen
;
Allen Gabor
;
Pavan Samudrala
;
Sheldon Meyers
;
Erik Hosier
;
Richard Johnson
;
Nelson Felix
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
N7 EUV;
Cross-Platform Mix and Match Overlay Control;
Higher-Order Intra-field Scanner Correction;
High-Order Wafer-to-Wafer Correction;
Intra-field Sample Plan Optimization;
31.
Line-Edge Roughness performance targets for EUV Lithography
机译:
EUV光刻的线边缘粗糙度性能目标
作者:
Timothy A. Brunner
;
Xuemei Chen
;
Allen Gabor
;
Craig Higgins
;
Lei Sun
;
Chris A. Mack
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Lithography;
Line Edge Roughness;
Line Width Roughness;
Pattern Defects;
Yield;
Local CD Uniformity;
Stochastic Resist Models;
32.
Enabling Full Field Physics Based OPC via Dynamic Model Generation
机译:
通过动态模型生成启用基于全场物理的OPC
作者:
Michael Lam
;
Chris Clifford
;
Ananthan Raghunathan
;
Germain Fenger
;
Kostas Adam
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
OPC;
DMG;
dynamic model generation;
EUV;
aberrations;
tool-to-tool;
through slit;
field dependent modeling;
33.
Extreme ultraviolet patterning of tin-oxo cages
机译:
Tin-Oxo笼的极端紫外线图案
作者:
Jarich Haitjema
;
Yu Zhang
;
Michaela Vockenhuber
;
Dimitrios Kazazis
;
Yasin Ekinci
;
Albert M. Brouwer
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Tin-oxo cage;
EUV lithography;
EUV photoresist;
interference lithography;
organometallic photoresist;
34.
Lithographic Stochastics: Beyond 3σ
机译:
光刻随机:超越3σ
作者:
Robert L. Bristol
;
Marie E. Krysak
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Lithography;
EUV;
via;
stochastics;
EPE;
35.
Single-nm resolution approach by applying DDRP and DDRM
机译:
通过应用DDRP和DDRM来解决单行NM分辨率方法
作者:
Wataru Shibayama
;
Shuhei Shigaki
;
Satoshi Takeda
;
Makoto Nakajima
;
Rikimaru Sakamoto
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
single nm patterning;
photo resist;
DDR;
under layer;
PTD;
Si-HM;
SOC;
etching;
planarity;
36.
Progress in EUV lithography toward manufacturing
机译:
EUV光刻对制造业的进展
作者:
Seong-Sue Kim
;
Roman Chalykh
;
Hoyeon Kim
;
Seungkoo Lee
;
Changmin Park
;
Myungsoo Hwang
;
Joo-On Park
;
Jinhong Park
;
Hocheol Kim
;
Jinho Jeon
;
Insung Kim
;
Donggun Lee
;
Jihoon Na
;
Jungyeop Kim
;
Siyong Lee
;
Hyunwoo Kim
;
Seok-Woo Nam
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
EUV source;
collector;
EUV mask;
EUV pellicle;
high-NA EUV;
37.
Single exposure EUV patterning of BEOL metal layers on the Imec iN7 platform
机译:
IMEC IN7平台上的BEOL金属层的单次曝光EUV图案
作者:
V.M. Blanco Carballo
;
J. Bekaert
;
M. Mao
;
B. Kutrzeba Kotowska
;
S. Lariviere
;
I. Ciofi
;
R. Baert
;
R.H. Kim
;
E. Gallagher
;
E. Hendrickx
;
L.E. Tang
;
W. Gillijns
;
D. Trivkovic
;
P. Leray
;
S. Haider
;
M. Gallagher
;
F. Lazzarino
;
S. Paolillo
;
D. Wan
;
A. Mallik
;
Y. Sherazi
;
G. McIntyre
;
M. Dusa
;
P. Rusu
;
T. Hollink
;
T. Fliervoet
;
F. Wittebrood
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Metal layer patterning;
EUV;
BEOL;
pattern fidelity;
CD-SEM;
interconnect reliability;
38.
Exploring the readiness of EUV photo materials for patterning advanced technology nodes
机译:
探索EUV照片材料的准备,用于图案化先进技术节点
作者:
Danilo De Simone
;
Yannick Vesters
;
Atif Shehzad
;
Geert Vandenberghe
;
Philippe Foubert
;
Christophe Beral
;
Dieter Van Den Heuvel
;
Ming Mao
;
Fred Lazzarino
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV photoresist;
low dose EUV resist;
high sensitivity;
LWR;
EUV absorption;
photon efficiency;
iN7;
5nm;
39.
Simulation and Experimentation of PSCAR? Chemistry for Complex Structures
机译:
PSCAR的仿真与实验?复杂结构的化学
作者:
Michael Carcasi
;
Seiji Nagahara
;
Gosuke Shiraishi
;
Tomohiro Iseki
;
Yukie Minekawa
;
Kosuke Yoshihara
;
Hisashi Nakagawa
;
Takehiko Naruoka
;
Tomoki Nagai
;
Akihiro Oshima
;
Seiichi Tagawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Photosensitized Chemically Amplified Resist;
PSCAR;
RLS trade-off;
photosensitizer;
photosensitizer precursor;
chemical gradient;
dual acid quenching;
flood exposure;
40.
Compact 2D OPC Modeling of a Metal Oxide EUV resist for a 7nm Node BEOL Layer
机译:
用于7nm节点BEOL层的金属氧化物EUV抗蚀剂的紧凑型2D OPC造型
作者:
Adam Lyons
;
David Rio
;
Sook Lee
;
Thomas Wallow
;
Maxence Delorme
;
Anita Fumar-Pici
;
Michael Kocsis
;
Peter De Schepper
;
Michael Greer
;
Jason K Stowers
;
Werner Gillijns
;
Danilo De Simone
;
Joost Bekaert
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
Inpria;
ASML Brion;
Resist;
NTD;
OPC;
Tachyon;
Model Calibration;
41.
Integrated Approach to Improving Local CD Uniformity in EUV Patterning
机译:
改善欧盟图案化局部CD均匀性的综合方法
作者:
Andrew Liang
;
Jan Hermans
;
Timothy Tran
;
Katja Viatkina
;
Chen-Wei Liang
;
Brandon Ward
;
Steven Chuang
;
Jengyi Yu
;
Greg Harm
;
Jelle Vandereyken
;
David Rio
;
Michael Kubis
;
Samantha Tan
;
Rich Wise
;
Mircea Dusa
;
Sirish Reddy
;
Akhil Singhal
;
Bart van Schravendijk
;
Girish Dixit
;
Nader Shamma
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
co-optimization;
synergy;
etch;
lithography;
LCDU;
LCDU improvement;
deposition;
42.
The future of EUV lithography: enabling Moore's law in the next decade
机译:
EUV光刻的未来:在未来十年内能够实现摩尔法
作者:
Alberto Pirati
;
Jan van Schoot
;
Kars Troost
;
Rob van Ballegoij
;
Peter Krabbendam
;
Judon Stoeldraijer
;
Erik Loopstra
;
Jos Benschop
;
Jo Finders
;
Hans Meiling
;
Eelco van Setten
;
Niclas Mika
;
Jeannot Dredonkx
;
Uwe Stamm
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
43.
Reducing EUV mask 3D effects by alternative metal absorbers
机译:
通过替代金属吸收器减少EUV掩模3D效果
作者:
Vicky Philipsen
;
Kim Vu Luong
;
Laurent Souriau
;
Eric Hendrickx
;
Andreas Erdmann
;
Dongbo Xu
;
Peter Evanschitzky
;
Robbert W.E. van de Kruijs
;
Arash Edrisi
;
Frank Scholze
;
Christian Laubis
;
Mathias Irmscher
;
Sandra Naasz
;
Christian Reuter
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV mask absorber;
mask 3D effects;
absorber characterization;
rigorous mask 3D lithography simulation;
44.
SAQP EUV block patterning of BEOL metal layers on imec's iN7 platform
机译:
SAQP&EUV阻止IMEC IN7平台上的BEOL金属层的图案化
作者:
Joost Bekaert
;
Paolo Di Lorenzo
;
Ming Mao
;
Stefan Decoster
;
Stephane Lariviere
;
Joern-Holger Franke
;
Victor M. Blanco Carballo
;
Bogumila Kutrzeba Kotowska
;
Frederic Lazzarino
;
Emily Gallagher
;
Eric Hendrickx
;
Philippe Leray
;
R. Ryoung-han Kim
;
Greg McIntyre
;
Paul Colsters
;
Friso Wittebrood
;
Joep van Dijk
;
Mark Maslow
;
Vadim Timoshkov
;
Ton Kiers
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
ASML NXE;
N7;
N5;
Block;
Inpria metal containing resist;
SAQP;
45.
Technology for defectivity improvement in resist coating and developing process in EUV lithography process
机译:
EUV光刻工艺抗蚀剂涂层缺陷改进技术
作者:
Yuya Kamei
;
Takahiro Shiozawa
;
Shinichiro Kawakami
;
Hideo Shite
;
Hiroshi Ichinomiya
;
Masashi Enomoto
;
Kathleen Nafus
;
Marc Demand
;
Philippe Foubert
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUVL;
defect;
CH 24 nm;
optimized rinse;
residue;
NDS;
in-film particle;
46.
Impact of tool design on defect detection sensitivity for EUV actinic blank inspection
机译:
刀具设计对EUV光化空白检查缺陷检测灵敏度的影响
作者:
Yow-Gwo Wang
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Actinic Blank Inspection;
Compact Synchrotron;
Discharge-produced plasma (DPP);
Optical Design;
Signal-to-Noise Ratio (SNR);
47.
A two-step method for fast and reliable EUV mask metrology
机译:
快速可靠的EUV掩模计量的两步方法
作者:
Patrick Helfenstein
;
Iacopo Mochi
;
Rajendran Rajeev
;
Shusuke Yoshitake
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
mask metrology;
actinic inspection;
EUV;
CDI;
lensless imaging;
48.
A study on EUV reticle surface molecular contamination under different storage conditions in a HVM foundry fab
机译:
HVM铸造Fab中不同储存条件下EUV掩模性表面分子污染的研究
作者:
SherJang Singh
;
Brett Yatzor
;
Ron Taylor
;
Obert Wood
;
Pawitter Mangat
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Reticle;
EUV Mask;
Haze;
Molecular Contamination;
Reticle lifetime;
Progressive Defects;
49.
First light on EBL2
机译:
EBL2上的第一盏灯
作者:
Norbert Koster
;
Edwin te Sligte
;
Freek Molkenboer
;
Alex Deutz
;
Peter van der Walle
;
Pim Muilwijk
;
Wouter Mulckhuyse
;
Bastiaan Oostdijck
;
Christiaan Hollemans
;
Bjoern Nijland
;
Peter Kerkhof
;
Michel van Putten
;
Jeroen Westerhout
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV exposure;
mask;
pellicle;
XPS analysis;
metrology;
handling;
contamination control;
50.
Study of Sn Removal by Surface Wave Plasma for Source Cleaning
机译:
表面波等离子体SN去除SN源清洁的研究
作者:
Gianluca Panici
;
Dren Qerimi
;
David N. Ruzic
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Tin;
Sn;
cleaning;
plasma;
collector;
lifetime;
surface;
wave;
51.
RESCAN: An actinic lensless microscope for defect inspection of EUV reticles
机译:
重新扫描:用于EUV晶体缺陷检查的光型无透镜显微镜
作者:
Iacopo Mochi
;
Patrick Helfenstein
;
Istvan Mohacsi
;
Rajendran Rajeev
;
Shusuke Yoshitake
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Actinic;
EUV;
defects;
inspection;
reticle;
metrology;
52.
State-of-the-art EUV materials and processes for the 7 nm node and beyond
机译:
最先进的EUV材料和7个NM节点和超越的过程
作者:
Elizabeth Buitrago
;
Marieke Meeuwissen
;
Oktay Yildirim
;
Rolf Custers
;
Rik Hoefnagels
;
Gijsbert Rispens
;
Michaela Vockenhuber
;
Iacopo Mochi
;
Roberto Fallica
;
Zuhal Tasdemir
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
CAR;
Non-CAR;
photoresist;
interference lithography;
5nm node;
3nm node;
53.
Considerations for pattern placement error correction towards 5nm node
机译:
针对5nm节点的模式放置纠错的考虑因素
作者:
Hidetami Yaegashi
;
Kenichi Oyama
;
Arisa Hara
;
Sakurako Natori
;
Shohei Yamauchi
;
Masatoshi Yamato
;
Kyohei Koike
;
Mark John Maslow
;
Vadim Timoshkov
;
Ton Kiers
;
Paolo Di Lorenzo
;
Fonseca Carlos
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
54.
Vote-taking for EUV lithography: a radical approach to mitigate mask defects
机译:
对EUV光刻的投票:一种缓解掩模缺陷的激进方法
作者:
Timothy A. Brunner
;
Melih Ozlem
;
Geng Han
;
Jed Rankin
;
Obert Wood
;
Erik Verduijn
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Lithography;
EUV mask defects;
novel EUV imaging;
Low defect patterning;
55.
High-volume manufacturing compatible Dry Development Rinse Process (DDRP): Patterning defectivity performance for EUVL
机译:
高批量制造兼容干燥发育冲洗过程(DDRP):EUVL的图案化和缺陷性能
作者:
Safak Sayan
;
Pieter Vanelderen
;
Iulian Hetel
;
BT Chan
;
Praveen Raghavan
;
Victor Blanco
;
Philippe Foubert
;
Lucia Durzo
;
Danilo De Simone
;
Geert Vandenberghe
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUVL;
Dry Development Rinse Process;
DDRP;
Dry Development Rinse Material;
DDRM;
Pattern collapse;
ultimate resolution;
exposure latitude;
2D metal lines;
56.
2D Self-Aligned Via Patterning Strategy with EUV Single Exposure in 3nm Technology
机译:
2D通过3NM技术的EUV单曝光进行图案化策略自对齐
作者:
Suhyeong Choi
;
Jae Uk Lee
;
Victor M. Blanco Carballo
;
Ryoung-Han Kim
;
Youngsoo Shin
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography (EUVL);
2D self-aligned via (2D SAV);
bridge via (BV);
via bridging;
via necking;
source mask optimization (SMO);
57.
A Study on Enhancing EUV Resist Sensitivity
机译:
提高EUV抗蚀剂敏感性的研究
作者:
Atsushi SEKIGUCHI
;
Tetsuo HARADA
;
Takeo WATANABE
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Lithography;
Nano-particle;
ZrO_2;
TeO_2;
EUV metal resist;
Synchrotron;
58.
Computational approach on PEB process in EUV resist: Multi-scale simulation
机译:
EUV抗蚀剂PEB过程计算方法:多尺度模拟
作者:
Muyoung Kim
;
Junghwan Moon
;
Joonmyung Choi
;
Byunghoon Lee
;
Changyoung Jeong
;
Heebom Kim
;
Maenghyo Cho
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
photoresist;
chemical amplification;
first principle calculation;
molecular dynamics simulation;
multi-scale simulation;
line edge roughness;
material design;
photochemistry;
59.
EUV process improvement with novel litho track hardware
机译:
euv流程改进了新颖的岩石轨道硬件
作者:
Harold Stokes
;
Masahiko Harumoto
;
Yuji Tanaka
;
Koji Kaneyama
;
Charles Pieczulewski
;
Masaya Asai
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
annealing;
defectivity;
coat develop track;
LWR;
60.
Correlation of experimentally measured atomic scale properties of EUV photoresist to modeling performance: an exploration
机译:
EUV光致抗蚀剂对造型性能的实验测定原子学性质的相关性:勘探
作者:
Yudhishthir Kandel
;
Jonathan Chandonait
;
Lawrence S. Melvin III
;
Sajan Marokkey
;
Qiliang Yan
;
Steven Grzeskowiak
;
Benjamin Painter
;
Gregory Denbeaux
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
OPC;
EUV;
Resist blur model;
61.
Nanoparticle photoresist studies for EUV lithography
机译:
EUV光刻的纳米粒子光致抗蚀剂研究
作者:
Kazuki Kasahara
;
Hong Xu
;
Vasiliki Kosma
;
Jeremy Odent
;
Emmanuel P. Giannelis
;
Christopher K. Ober
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
EUV photoresist;
nanoparticle photoresist;
metal organic cluster;
metal oxide;
62.
Impact of EUV SRAF on Bossung Tilt
机译:
EUV Sraf对Bossung倾斜的影响
作者:
Yow-Gwo Wang
;
Stephen Hsu
;
Robert Socha
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Mask 3D effect;
Sub-resolution assist feature (SRAF);
EUV Lithography;
Bossung tilt;
Process window enhancement;
63.
Monte Carlo sensitivity analysis of EUV mask reflectivity and its impact on OPC accuracy
机译:
EUV掩模反射率的蒙特卡罗敏感性分析及其对OPC精度的影响
作者:
Yulu Chen
;
Obert Wood
;
Jed Rankin
;
Eric Gullikson
;
Julia Meyer-Ilse
;
Lei Sun
;
Zhengqing John Qi
;
Francis Goodwin
;
Jongwook Kye
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
Monte Carlo;
EUV lithography;
EUV mask;
OPC;
statistics;
electromagnetic theory;
64.
Arc-shaped slit effect of EUV lithography with anamorphic high NA system in terms of critical dimension variation
机译:
在临界尺寸变化方面,eUV光刻与变形高NA系统的弧形狭窄效果
作者:
In-Seon Kim
;
Guk-Jin Kim
;
Michael Yeung
;
Eytan Barouch
;
Hye-Keun Oh
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
65.
PERFORMANCE OF 250W HIGH POWER HVM LPP-EUV SOURCE
机译:
250W高功率HVM LPP-EUV源的性能
作者:
Hakaru Mizoguchi
;
Hiroaki Nakarai
;
Tamotsu Abe
;
Krzysztof M Nowak
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tsukasa Hori
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
Tsuyoshi Yamada
;
Taku Yamazaki
;
Shinji Okazaki
;
Takashi Saitou
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
66.
Background pressure effects on EUV source efficiency and produced debris characteristics
机译:
背景压力影响EUV源效率和产生的碎片特征
作者:
Tatyana Sizyuk
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV;
LPP;
Tin vapor;
CMUXE;
HEIGHTS;
Debris mitigation;
67.
High-NA metrology and sensing on Berkeley MET5
机译:
Berkeley Met5上的高NA计量和感应
作者:
Ryan Miyakawa
;
Chris Anderson
;
Patrick Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
MET5;
metrology;
High NA;
optical testing;
wavefront;
aberration;
AIS;
68.
In-situ measurement of outgassing generated from EUV resist including metal oxide nanoparticles during electron irradiation
机译:
从Euv抗蚀剂产生的除气的原位测量包括在电子照射过程中的金属氧化物纳米粒子
作者:
Seiji Takahashi
;
Yoichi Minami
;
Mikio Kadoi
;
Yoko Matsumoto
;
Atsushi Sekiguchi
;
Takeo Watanabe
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
metal oxide nanoparticle;
EUV resist;
outgassing measurement electron irradiation;
in-situ outgas measurement;
mass spectrometry;
ZrO_2;
TeO_2;
69.
CD Error Caused by Aberration and Its Possible Compensation by Optical Proximity Correction in Extreme-Ultraviolet Lithography
机译:
像差引起的CD误差及其在极端紫外光刻中光学邻近校正的可能补偿
作者:
Jeong-Gu Hwang
;
In-Seon Kim
;
Guk-Jin Kim
;
Hee-Ra No
;
Byung-Hun Kim
;
Hye-Keun Oh
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV lithography;
Coma;
OPC;
70.
N7 dark field two-bar in 0.33NA EUVL: Mitigation of CD Bossung tilts caused by strong coupling between the feature's primary and 1~(st) self-image
机译:
N7暗场两条在0.33NA EUVL中:通过特征的主要和1〜(ST)自体图像之间的强耦合引起的CD Bossung倾斜的缓解
作者:
T. Last
;
P. van Adrichem
;
L. de Winter
;
S. Hsu
;
J. Finders
;
F. Wittebrood
;
M. van de Kerkhof
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
关键词:
EUV Lithography;
Mask 3D Effects;
SMO;
Sub-Resolution Assist Features;
Aerial Image;
71.
Improvement of power, efficiency and cost of ownership in the tin LPP EUV source
机译:
锡LPP EUV源的电力,效率和所有权成本的提高
作者:
Malcolm W. McGeoch
会议名称:
《Conference on extreme ultraviolet (EUV) lithography VIII》
|
2017年
意见反馈
回到顶部
回到首页