掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
SPIE Conference on Photomask and Next-Generation Lithography Mask Technology
SPIE Conference on Photomask and Next-Generation Lithography Mask Technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Perspectives of CMOS Technology and Future Requirements
机译:
CMOS技术和未来要求的观点
作者:
Tohru Mogami
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
variation;
CMOS;
MOSFET;
random dopant fluctuation;
line edge roughness;
advanced process control;
design for manufacturing;
FEOL;
BEOL;
2.
Practical Resist Model Calibration for E-Beam Direct Write Processes
机译:
电子束直接写入过程的实用抗蚀模型校准
作者:
Martin Schulz
;
Hans-Jurgen Stock
;
Ulrich Klostermann
;
Wolfgang Hoppe
;
Lars Bomholt
;
Philipp Jaschinsky
;
Kang-Hoon Choi
;
Manuela Gutsch
;
Holger Sailer
;
Stephan Martens
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
e-beam;
e-beam direct write (EBDW);
maskless lithography;
lithography simulation;
resist model calibration;
verification;
3.
EUV Mask Inspection with 193 nm Inspector for 32 and 22 nm HP
机译:
EUV面膜检查193 NM检查员32和22 NM HP
作者:
Daniel Wack
;
Qiang Q. Zhang
;
Gregg Inderhees
;
Dan Lopez
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Mask Inspection;
EUV Lithography;
4.
E-beam writing time improvement for Inverse LithographyTechnology mask for full-chip
机译:
逆光刻技术面膜用于全芯片的电子束写时改进
作者:
Guangming Xiao
;
Dong Hwan Son
;
Tom Cecil
;
Dave Irby
;
David Kim
;
Ki-Ho
;
Byung-Gook Kim
;
SungGon Jung
;
Sung Soo Suh
;
HanKu Cho
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Inverse lithography technology (ILT);
Sub-resolution assist feature (SRAF);
Resolution enhancement technology (RET);
e-beam mask write time;
5.
Development of Computational Spacer Patterning Technology
机译:
计算间隔图案技术的开发
作者:
Hiromitsu Mashita
;
Takafumi Taguchi
;
Fumiharu Nakajima
;
Katsumi Iyanagi
;
Toshiya Kotani
;
Shoji Mimotogi
;
Soichi Inoue
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
spacer patterning technology (SPT);
spacer patterning process;
DFM;
OPC;
hotspot;
mask specification;
6.
Improvement of KrF contact layer by inverse lithography technologywith assist feature
机译:
通过辅助功能技术改进KRF接触层。辅助功能
作者:
Sungho Jun
;
Yeon-Ah Shim
;
Jaeyoung Choi
;
Kwangsun Choi
;
Jae-won Han
;
Kechang Wang
;
John McCarthy
;
Guangming Xiao
;
Grace Dai
;
DongHwan Son
;
Xin Zhou
;
Tom Cecil
;
David Kim
;
KiHo Baik
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Sub-resolution assist feature (SRAF);
Inverse lithography technology (ILT);
7.
Future Application of e-Beam Repair Tool Beyond 3X Generation
机译:
未来应用电子束修复工具超出3倍一代
作者:
Shingo Kanamitsu
;
Takashi Hirano
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EB repair;
etching;
deposition;
defect;
MoSi;
8.
Nano machining of Non-Orthogonal Mask Patterns
机译:
非正交掩模图案的纳米加工
作者:
Tod Robinson
;
Daniel Yi
;
Roy White
;
Ron Bozak
;
Mike Archuletta
;
David Lee
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
requirements;
automated;
traditional;
9.
CMP dummy pattern based on VSB writer load
机译:
基于VSB编写器负载的CMP虚拟图案
作者:
Wakahiko Sakata
;
Isaku Osawa
;
Shogo Narukawa
;
Tadahiko Takikawa
;
Hiroshi Mohri
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
deteriorating;
increased;
dummy;
10.
Results from a new 193nm die-to-database reticle inspection platform
机译:
新的193nm模具到数据库掩模版检查平台的结果
作者:
William H. Broadbent
;
David S. Alles
;
Michael T. Giusti
;
Damon F. Kvamme
;
Rui-Fang Shi
;
Weston L. Sousa
;
Robert Walsh
;
Yalin Xiong
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
193nm;
computational lithography;
ILT;
SMO;
reticle;
defect;
inspection;
sensitivity;
11.
Evaluation of transfer of particles from Dual-Pod base plate toEUV mask
机译:
双荚底板粒子薄膜粒子转移评价
作者:
Masami Yonekawa
;
Kazuya Ota
;
Takao Taguchi
;
Osamu Suga
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUVL;
contamination;
particle;
protection;
base plate;
Dual pod;
12.
Effect of EUV exposure upon surface residual chemicalson EUV mask surface
机译:
EUV暴露在表面残留的化学电子欧盟面膜表面上的影响
作者:
Han-Shin Lee
;
Jaehyuck Choi
;
DonGun Lee
;
Hyungho Ko
;
SeongSu Kim
;
Chan-Uk Jeon
;
HanKu Cho
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV Photo-induced defect;
Carbon contamination;
surface residual ion;
EUV cleaning residue;
13.
Fine pixel SEM image for EUV mask pattern 3D quality assurancebased on lithography simulation
机译:
精细像素SEM图像,用于EUV掩模图案3D质量保证光刻模拟
作者:
Eiji Yamanaka
;
Masamitsu Roh
;
Masaya Kato
;
Kusuo Ueno
;
Kyouhei Hayashi
;
Akira Higuchi
;
Naoya Hayashi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV;
side wall angle;
OPC;
lithography simulation;
SEM;
tilt;
edge extraction;
14.
Two-fluid cleaning technology for advanced photomask
机译:
高级光掩模两种流体清洁技术
作者:
Yuji Nagashima
;
Koichi Higuchi
;
Tsutomu Kikuchi
;
Yoshiaki Kurokawa
;
Harumichi Hirose
;
Mikio Nonaka
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
liquid droplets diameter;
flow velocity;
liquid film thickness;
damage;
particle removal;
15.
Advanced mask-to-mask overlay analysis for next generationtechnology node reticles
机译:
下一代技术节点标题的高级蒙版屏蔽叠加分析
作者:
Klaus-Dieter Roeth
;
Frank Laske
;
Karl-Heinrich Schmidt
;
Dieter Adam
;
Oliver Ache
;
David Ilsen
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Registration metrology;
mask-to-mask overlay;
LMS IPRO;
DPL;
double patterning;
16.
Study on counting error in particle inspection
机译:
粒子检查中计数误差的研究
作者:
Mitsuaki Amemiya
;
Kazuya Ota
;
Takao Taguchi
;
Osamu Suga
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
counting error;
counting loss;
quasi-particle;
inspection;
EUV lithography;
EUVL;
17.
Evaluation of Mask Manufacturing Efficiency using Mask Data Rank Information
机译:
使用掩模数据等级信息评估掩模制造效率
作者:
Kokoro Kato
;
Masakazu Endo
;
Tadao Inoue
;
Masaki Yamabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
challenging;
introduced;
inspection;
18.
Best depth of focus on 22 nm logic wafers with less shot count
机译:
在22 nm逻辑晶圆上最佳深度焦点,射门少
作者:
Aki Fujimura
;
David Kim
;
Tadashi Komagata
;
Yasutoshi Nakagawa
;
Vikram Tolani
;
Tom Cecil
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photo mask;
shaped-beam;
shot count;
mask writer;
circular aperture;
19.
Challenges in Flare Correction in EUV Lithographyfor half pitch 22-nm generation
机译:
EUV光刻中Flare校正的挑战,为半场22-nm代
作者:
Taiga Uno
;
Yukiyasu Arisawa
;
Hajime Aoyama
;
Toshihiko Tanaka
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUVL;
flare;
correction;
PSF;
OPC;
20.
Haze Risk Reduced Mask Manufacturing Process
机译:
阴霾风险减少面膜制造过程
作者:
Pavel Nesladek
;
Valentine Baudiquez
;
Eugen Foca
;
Bjorn Sass
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Haze;
sulphate;
mask contamination;
haze;
21.
The Optical CD Metrology for EUV Mask
机译:
EUV面罩的光学CD计量
作者:
Jin-Back Park
;
Kyoung-Yoon Bang
;
Dong-Gun Lee
;
Hae-Young Jeong
;
Seung-Soo Kim
;
Han-Ku Cho
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV;
dark loss;
durability;
OCD;
22.
Mask writing time explosion and its effect on CD control in e-beam lithography
机译:
掩模写作时间爆炸及其对电子梁光刻中CD控制的影响
作者:
Sang Hee Lee
;
Jin Choi
;
Seong Jun Min
;
Hee Born Kim
;
Byung Gook Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
CD uniformity;
MTT;
e-beam lithography;
writing time;
beam size;
current density drift;
23.
Performance and stability of Mask Process Correction for EBM-7000
机译:
EBM-7000掩模过程校正的性能和稳定性
作者:
Yasuko Saito
;
George Chen
;
Jen-Shiang Wang
;
Shufeng Bait
;
Rafael Howell
;
Jiangwei Li
;
Jun Tao
;
Doug VanDenBroeke
;
Jim Wiley
;
Tadahiro Takigawa
;
Takayuki Ohnishi
;
Takashi Kamikubo
;
Shigehiro Hara
;
Hirohito Anze
;
Yoshiaki Hattori
;
Shuichi Tamamushi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
mask process correction;
mask proximity correction;
mask model;
short range mask error;
long range effects;
computational lithography;
24.
Evaluation of Throughput Improvement and Character Projectionin Multi-column-cell E-beam Exposure System
机译:
吞吐量改进和字符投影多柱单元电子束曝光系统的评估
作者:
Akio Yamada
;
Yoshihisa Oae
;
Tatsuro Okawa
;
Masahiro Takizawa
;
Masaki Yamabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
electron beam exposure system;
multi column;
character projection;
pattern priority;
throughput;
25.
Increased Productivity of Repair Verification by Offline Analysis ofAerial Images
机译:
通过离线分析进行修复验证的生产率提高
作者:
Ernesto Villa
;
Luca Sartelli
;
Hiroyuki Miyashita
;
Thomas Scheruebl
;
Rigo Richter
;
Thomas Thaler
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
photomask;
repair;
AIMS~(TM);
CD control;
aerial image;
26.
Mask inspection system with variable sensitivityand printability verification function
机译:
掩模检测系统具有可变灵敏度和可印刷性验证功能
作者:
Takafumi Inoue
;
Kenichi Takahara
;
Hideo Tsuchiya
;
Masakazu Tokita
;
Tadao Inoue
;
Masaki Yamabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Mask Inspection;
Die to Database;
Mask Data Rank (MDR);
Mask Error Enhancement Factor (MEEF);
Printability;
27.
Efficient OASIS.MASK Reader
机译:
高效的Oasis.mask读者
作者:
Domingo Morales
;
Juan Pablo Canepa
;
Daniel Cohen
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
OASIS.MASK;
OASIS;
OASIS issues;
OASIS.MASK Localization;
Efficient reading;
28.
Extraction and utilization of the repeating patternsfor CP writing in mask making
机译:
掩模制作中的重复模式的提取与利用
作者:
Masahiro Shoji
;
Tadao Inoue
;
Masaki Yamabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
DFM;
OPC;
mask writing;
character projection;
29.
Thin absorber EUV mask with light-shield border of etchedmultilayer and its lithographic performance
机译:
薄吸收器EUV面罩采用蚀刻灯罩和光屏蔽边框及其光刻性能
作者:
Takashi Kamo
;
Hajime Aoyama
;
Yukiyasu Arisawa
;
Kazuo Tawarayama
;
Toshihiko Tanaka
;
Osamu Suga
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV lithography;
mask;
absorber;
multilayer;
light-shield;
reflectivity;
OoB;
30.
Evaluation of a Next Generation EB Mask Writerfor hp 32nm Lithography
机译:
评估下一代EB面具作家HP 32nm光刻
作者:
Tadashi Komagata
;
Takahisa Hasegawa
;
Kazuya Goto
;
Kenji Kono
;
Ryuuji Yamamoto
;
Naoki Nishida
;
Yasutoshi Nakagawa
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Mask making;
electron beam lithography;
31.
Assessing Equipment and Process Related Electrostatic Risks toReticles with E-Reticle~(TM) System
机译:
评估设备和过程相关静电风险与电子掩模版〜(TM)系统
作者:
Richard Tu
;
Thomas Sebald
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
ESD;
Reticle damage;
E-Reticle;
chrome migration;
Electrostatic damage;
32.
W-CMOS Blanking Device for Projection Multi-Beam Lithography
机译:
用于投影多光束光刻的W-CMOS消隐装置
作者:
Michael Jurisch
;
Mathias Irmscher
;
Florian Letzkus
;
Stefan Eder-Kapl
;
Christof Klein
;
Hans Loeschner
;
Walter Piller
;
Elmar Platzgummer
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
multi-beam lithography;
mask writing;
projection optics;
pattern generator;
Welectrode;
CMOS;
33.
New type of haze formation on masks fabricated with Mo-Si blanks
机译:
用Mo-Si空白制造的面罩上的新型阴霾形成
作者:
E. Foca
;
A. Tchikoulaeva
;
B. Sass
;
C. West
;
P. Nesladek
;
R. Horn
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Haze formation;
ammonium sulphate;
molybdate;
MoSi materials;
haze formation mechanism;
haze crystal growth;
34.
Writing 'wavy' metal 1 shapes on 22 nm Logic Wafers with Less ShotCount
机译:
在22 nm逻辑晶圆上写下“波浪”金属1形状,较少的镜头
作者:
Harold R. Zable
;
Aki Fujimura
;
Tadashi Komagata
;
Yasutoshi Nakagawa
;
John S. Petersen
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Depth of focus;
mask;
shot count;
mask writer;
lithography simulation;
RET;
OPC;
ILT;
SMO;
35.
Study of etching process for LER and resolution
机译:
LER分辨率蚀刻过程的研究
作者:
Tomohiro Imoto
;
Yosuke Kojima
;
Norihito Fukugami
;
Takashi Haraguchi
;
Tsuyoshi Tanaka
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Etching;
LER;
Resolution;
Pattern-collapsing;
SRAF;
36.
Defect inspection and repair performance comparisonsbetween EUV and conventional masks
机译:
缺陷检查和修理性能比较EUV和传统面具
作者:
Kazunori Seki
;
Masafumi Shibita
;
Takashi Yoshii
;
Tsukasa Fujimoto
;
Yo Sakata
;
Shinji Akima
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Inspection;
Pattern contrast;
Sensitivity;
Repair;
Repair performance;
Simulation;
EUV;
OMOG (Opaque MoSi On Glass);
37.
Defect Reduction of Patterned Media Templates and Disks
机译:
减少图案化媒体模板和磁盘的缺陷
作者:
Kang Luo
;
Steven Ha
;
John Fretwell
;
Rick Ramos
;
Zhengmao Ye
;
Gerard Schmid
;
DwayneLaBrake
;
Douglas J. Resnick
;
S.V. Sreenivasan
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
jet and flash imprint lithography;
J-FIL;
patterned media;
hard drive;
template;
inspection;
38.
The Fusion of Metrology and Inspection:Challenges and Solutions
机译:
计量与检验的融合:挑战与解决方案
作者:
Masaru Higuchi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photomask;
RET;
OPC;
CD;
Image placement;
metrology;
inspection;
39.
Machine Specific Fracture Optimization for JEOL E-Beam Mask Writer
机译:
JEOL电子梁面罩作家的机器特定骨折优化
作者:
Johnny Yeap
;
Raghava Kondepudy
;
Parikshit Kulkarni
;
Yuichi Kawase
;
Russell Cinque
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Shot Optimization;
Slivers;
Machine Specific Optimization;
Mask Data Preparation (MDP);
40.
Contact Mask LER Impact on Lithographic Performance
机译:
联系面罩LER对光刻性能的影响
作者:
Tatsuya Nagatomo
;
Mitsuharu Yamana
;
Katsuhisa Morinaga
;
Masaru Higuchi
;
Shunsuke Sato
;
Tsuyoshi Tanaka
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Contact mask roughness;
wafer CD;
contrast;
MoSi binary mask;
OMOG;
41.
Through-Pellicle Defect Repair for Advanced Photomasks
机译:
通过薄膜缺损修复先进的光掩模
作者:
Tod Robinson
;
Roy White
;
Ron Bozak
;
Jeff LeClaire
;
Mike Archuletta
;
David Lee
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
significant;
removal;
additional;
42.
Evaluation of metrology capabilities of mask inspection equipment
机译:
掩模检查设备的计量能力评估
作者:
T.Touya
;
S.Tamamushi
;
N.Takamatsu
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
PositionMap;
CDMAP;
NPI-6000;
Mask Inspection;
43.
Inspecting EUV mask blanks with a 193-nm system
机译:
用193-NM系统检查EUV掩模空白
作者:
Joshua Glasser
;
Stan Stokowski
;
Gregg Inderhees
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV blank inspection;
phase defects;
particles;
DUV inspection;
EUV multilayer roughness;
44.
Extension of Optical Lithography by Mask-Litho Integration withComputational Lithography
机译:
通过掩盖 - Litho集成与计算光刻的光学光刻延伸
作者:
T. Takigawa
;
K. Gronlund
;
J. Wiley
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Optical lithography;
CD uniformity;
Source Mask Optimization (SMO);
Freeform source;
Complex mask;
Mask Process Correction (MPC);
Printability Check;
45.
Requirements of e-beam size and position accuracyfor photomask of sub-32 nm HP device
机译:
用于Sub-32 NM HP设备的光掩模电子束尺寸和位置精度的要求
作者:
Jin Choi
;
Sang Hee Lee
;
Hee Born Kim
;
Byung Gook Kim
;
Sang-Gyun Woo
;
Han Ku Cho
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photomask;
E-beam writer;
beam size;
position accuracy;
46.
Design Compliant Source Mask Optimization (SMO)
机译:
设计兼容源掩码优化(SMO)
作者:
Robert Socha
;
Tejas Jhaveri
;
Mircea Dusa
;
Xiaofeng Liu
;
Luoqi Chen
;
Stephen Hsu
;
Zhipan Li
;
Andrzej J. Strojwas
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
source mask optimization;
SMO;
design source mask optimization;
design fabric;
design template;
47.
Computational Inspection Applied to a Mask Inspection System withAdvanced Aerial Imaging Capability
机译:
计算检查应用于掩模检查系统,其具有携带的空中成像能力
作者:
Amir Sagiv
;
Aviram Tam
;
Wolf Staud
;
Linyong Pang
;
Danping Peng
;
Lin He
;
Dongxue Chen
;
Thuc Dam
;
Vikram Tolani
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Aerial Inspection;
193nm DUV Mask Inspection;
at-wavelength inspection;
Computational Lithography;
Computational Inspection;
mask inspection;
mask pattern reconstruction;
mask pattern recovery;
mask pattern inversion;
Inverse Lithography Technology (ILT);
mask defect disposition;
aerial image;
fusion inspection;
48.
Photomask Defect Detection and Inspection: Aerial Imaging and High Resolution Inspection Strategies
机译:
Photomask缺陷检测和检查:空中成像和高分辨率检测策略
作者:
Sunghyun Oh
;
Daeho Hwang
;
Inpyo Kim
;
Changreol Kim
;
Aviram Tam
;
Michael Ben Yishai
;
Yulian Wolff
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
mask inspection;
aerial imaging;
high resolution;
49.
Evaluation of novel EUV mask inspection technologies
机译:
新型EUV面罩检测技术评价
作者:
Shmoolik Mangan
;
Aya Kantor
;
Nir Shoshani
;
Asaf Jaffe
;
Dror Kasimov
;
Vladislav Kudriashov
;
Ran Brikman
;
Lior Shoval
;
Anoop Sreenath
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV;
Mask Inspection;
Mask Defects;
Absorber Defects;
blank defects;
RET;
e-beam, EBI;
50.
Fast and highly accurate simulation of the printing behavior of EUVmultilayer defects based on different models
机译:
基于不同型号的EuvMultilayer缺陷的打印行为快速且高度准确地模拟
作者:
Feng Shao
;
Peter Evanschitzky
;
Kristian Motzek
;
Andreas Erdmann
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
lithography simulation;
EUV;
multilayer defect;
Waveguide method;
decomposition method;
51.
PROVE, the next generation registration metrology tool,status report
机译:
证明,下一代注册计量工具,状态报告
作者:
Dirk Beyer
;
Patricia Gabella
;
Greg Hughes
;
Gerd Klose
;
Norbert Rosenkranz
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
registration;
pattern placement;
metrology;
resolution;
52.
Compensating for Image Placement Errors for the HP 3X nm node
机译:
补偿HP 3X NM节点的图像放置错误
作者:
Eui Sang Park
;
Sang Pyo Kim
;
Tae Joong Ha
;
Chang Reol Kim
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photomask;
Image placement error;
Overlay;
53.
Evaluation of Easily Removable Pellicle Adhesive
机译:
易于可拆卸薄膜粘合剂的评价
作者:
Nancy Zhou
;
Monica Barrett
;
Robert Nolan
;
Dennis Plouffe
;
Jason Ritter
;
Alfred Wagner
;
Michael Caterer
;
Takashi Mizoguchi
;
Satoshi Akutagawa
;
Kevin Duong
;
Corbin Imai
;
C.B. Wang
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Pellicles;
photomask;
adhesive;
54.
Evolution of etch profile and CD variation in maskmaking and SPT:simulations using TRAVIT software
机译:
掩盖和SPT中蚀刻轮廓和CD变化的演变:使用Travit软件模拟
作者:
Sergey Babin
;
Konstantin Bay
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
maskmaking;
dry etch;
CD variation;
spacer patterning technology;
SPT;
etch profile;
microloading;
placement error;
55.
Effective-exposure-dose monitoring technique in EUV lithography
机译:
EUV光刻中的有效暴露剂量监测技术
作者:
Yumi Nakajima
;
Kentaro Kasa
;
Takashi Sato
;
Masafumi Aasano
;
Suigen Kyoh
;
Hiroyuki Mizuno
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV lithography;
CD control;
effective dose monitor;
shadowing effect;
56.
Preserving the mask integrity for the lithography process
机译:
保留光刻过程的掩模完整性
作者:
Sherjang Singh
;
Peter Dress
;
Uwe Dietze
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Mask cleaning;
CD uniformity control;
photolysis;
Resist stripping;
VUV ozone process;
Haze;
Double-patterning;
193 immersion technology;
57.
Efficiently writing circular contacts on production reticle
机译:
有效地在生产掩模版上写入圆形接触
作者:
Aki Fujimura
;
Christophe Pierrat
;
Taiichi Kiuchi
;
Tadashi Komagata
;
Yasutoshi Nakagawa
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photo mask;
shaped-beam;
shot count;
mask writer;
58.
Challenges for quality 15nm groove patterning with ZEP520Afor a master fabrication for track pitch 50nm full-surface DTR-Media
机译:
用Zep520AFOR轨道间距50nm全面DTR介质的施工曲折的质量15nm Groove Patterning挑战
作者:
Hiromasa Iyama
;
Kazuhiro Hamamoto
;
Shuji Kishimoto
;
Masasuke Nakano
;
Takeshi Kagatsume
;
Takashi Sato
;
Hideo Kobayashi
;
Tsuyoshi Watanabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Recording;
resolution;
previous;
59.
Large Scale Flash Memory System (LSFMS)For Photomask Defect Inspection Machine
机译:
用于光掩模缺陷检测机的大型闪存系统(LSFMS)
作者:
Satoshi Yamamoto
;
Ravi Pai
;
Manish Ranade
;
Soumen Mondal
;
Sundeep Prabhu
;
Gen Kurosaki
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Mask Inspection;
Defect Inspection;
OASIS;
MASK;
MALY;
Data Preparation;
flash memory;
SD card;
60.
FIB-CVD technology for EUV mask repair
机译:
用于EUV面罩修复的FIB-CVD技术
作者:
Tsuyoshi Amano
;
Noriaki Takagi
;
Hiroyuki Shigemura
;
Tsuneo Terasawa
;
Osamu Suga
;
Kensuke Shiina
;
Fumio Aramaki
;
Tomokazu Kozakai
;
Osamu Matsuda
;
Anto Yasaka
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV;
mask;
defect;
repair;
FIB;
EB;
chemical vapor deposition;
61.
Fabrication of ridge-and-groove servo pattern consisting of self-assembled dots for high-density bit patterned media
机译:
由用于高密度比特图案介质的自组装点组成的脊和沟槽伺服图案的制造
作者:
Yoshiyuki Kamata
;
Akira Kikitsu
;
Naoko Kihara
;
Seiji Morita
;
Kaori Kimura
;
Haruhiko Izumi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
bit patterned media;
self-assembled polymer;
servo pattern;
HDD;
62.
The Large Contour Data Generation from Divided Image of PhotomaskPattern of 32 nm and Beyond
机译:
从32nm和超过32nm的photomaskpattern的划分图像的大轮廓数据产生
作者:
Tsutomu Murakawa
;
Yoshiaki Ogiso
;
Toshimichi Iwai
;
Jun Matsumoto
;
Takayuki Nakamura
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Photomask;
Mask CD-SEM;
Contour data;
GDS data;
Tri-tone mask image;
63.
Short-range Electron Backscattering from EUV masks
机译:
来自EUV面具的短程电子反向散射
作者:
Hiroyoshi Tanabe
;
Tsukasa Abe
;
Yuichi Inazuki
;
Naoya Hayashi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV mask;
Electron backscattering;
Proximity effect;
64.
Pinhole Defect Study and Process Optimization
机译:
针孔缺陷研究和过程优化
作者:
Won-Hee Chae
;
Guen-Ho Hwang
;
Soon-Kyu Seo
;
Dae-Han Kim
;
Dong-Heok Lee
;
Moon-Hwan Choi
;
Sang-Soo Choi
;
Dong-Gun Kim
;
Doo-Hoon Geum
;
Gi-Su Nam
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Develop defect;
Clear defect;
Photoresist pinhole;
TMAH;
Developer droplet;
65.
Aberration-aware Robust Mask Design with Level-set-based Inverse Lithography
机译:
使用基于级别的逆光刻的像差感知强大的掩模设计
作者:
Yijiang Shen
;
Ngai Wong
;
Edmund Y. Lam
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
inverse lithography;
wave aberration;
level-set;
Zernike polynomials;
66.
Monitor Technology of Outer Circumstancesfor Mask EB Writing System
机译:
外部界面监测技术面具EB写作系统
作者:
H. Hoshi
;
N. Samoto
;
H. Manabe
;
O. Wakimoto
;
S. Iida
;
M. Yamabe
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Monitor;
Mask EB Writing System;
67.
New Critical Dimension Uniformity measurement concept basedReticle Inspection Tool
机译:
新的关键尺寸均匀度测量概念基于Reticle检测工具
作者:
KangJoon Seo
;
MunSik Kim
;
Sang Chul Kim
;
JaeCheon Shin
;
ChangYeol Kim
;
John Miller
;
Aditya Dayal
;
Trent Hutchinson
;
KiHun Park
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Critical Dimension Uniformity (CDU);
CD-SEM;
reticle inspection-based;
CDU map;
68.
The relationship between mounting pressure and timeon final photomask flatness
机译:
安装压力与超时最终光掩模平整度的关系
作者:
Takashi Mizoguchi
;
Monica Barrett
;
Satoshi Akutagawa
;
Michael Caterer
;
Robert Nolan
;
Dennis Plouffe
;
Nancy Zhou
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
pellicle;
flatness;
distortion;
pellicle mounting;
image placement;
69.
Characterization of line-width roughness about 22-32nm node EUV mask
机译:
大约22-32nm节点EUV掩模的线宽粗糙度的表征
作者:
Yuichi Inazuki
;
Tsukasa Abe
;
Tadahiko Takikawa
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUV lithography;
EUV mask;
LWR;
SEM;
aerial simulation;
70.
Productivity improvement of high resolution inspection mode on TeraScan 597XR
机译:
Terascan 597xr高分辨率检测模式的生产力提高
作者:
Hidemichi Imai
;
Takeshi Kosuge
;
Kei Mesuda
;
Eiji Tsujimoto
;
Hideyoshi Takamizawa
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
Productivity;
Pixel migration;
TeraScan;
High resolution;
Inspection;
Printability simulation;
LMC;
71.
Point-source approach of source-mask optimization
机译:
源掩码优化的点源方法
作者:
Weimin Gao
;
Satyendra Sethi
;
Vitaliy Domnenko
;
Wolfgang Hoppe
;
Wolfgang Demmerle
;
Kevin Lucas
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
optical lithography simulation;
customized illumination;
point source;
pixelated source;
freeform source;
source mask optimization;
72.
Actinic EUVL mask blank inspection capability with time delayintegration mode
机译:
幻影EUVL掩模空白检查能力随时间延迟组成模式
作者:
Takeshi Yamane
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Osamu Suga
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
EUVL mask;
actinic inspection;
phase defect;
detection probability;
false detection;
73.
eMET: Development of a 50 keV electron projection multi-beamMask Exposure Tool for the 16nm hp Technology Node and below
机译:
EMET:开发用于16nm HP技术节点的50 kev电子投影多束曝光工具及以下
作者:
Elmar Platzgummer
;
Stefan Cernusca
;
Christof Klein
;
Samuel Kvasnica
;
Bernd Sonalkar
;
Hans Loeschner
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
multi-beam;
mask writing;
template writing;
electron beam projection optics;
aperture plate system;
74.
A novel pattern error detecting algorithmfor SEM images of mask monitoring patterns
机译:
一种新型屏蔽监控模式的SEM图像模式误差检测算法
作者:
Yoonna Oh
;
Jae-Pil Shin
;
Jin Choi
;
Jong-Bae Lee
;
Moon-Hyun Yoo
会议名称:
《SPIE Conference on Photomask and Next-Generation Lithography Mask Technology》
|
2010年
关键词:
pattern error;
monitoring pattern;
e-beam;
image processing;
SEM image;
algorithm;
意见反馈
回到顶部
回到首页