掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
IEEE International Semiconductor Manufacturing Symposium
IEEE International Semiconductor Manufacturing Symposium
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Development of the inspection system of defects on a CMP (Chemical Mechanical Polishing) pad
机译:
CMP(化学机械抛光)垫上缺陷检测系统的开发
作者:
Kye Weon Kim
;
Yu Sin Yang
;
Chung Sam Chun
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
2.
Novel strategies of FSG-CMP for within-wafer uniformity improvement and wafer edge yield enhancement beyond 0.18 micro technologies
机译:
晶圆内FSG-CMP的新策略均匀性改善和晶圆边缘产量增强超过0.18微型技术
作者:
Chen K.W.
;
Wang Y.L.
;
Chang L.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
3.
Pre-metal clean optimization for cluster defect prevention
机译:
群体缺陷预防预金属清洁优化
作者:
Ku S.Y.
;
Lo T.W.
;
Shih Y.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
4.
ISSM 2001 Index of Presenters
机译:
ISSM 2001主持人指数
作者:
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
5.
Labor modeling in a dynamic environment
机译:
动态环境中的劳动建模
作者:
Croft T.
;
Toeante H.
;
Baker T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
6.
TekPAC (Technical Electronic Knowledge Personal Assistant Capsule)
机译:
Tekpac(技术电子知识个人助理胶囊)
作者:
Weissenborn C.
;
Sanchez F.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
7.
Bond pad F-crystal defect control and monitoring
机译:
键盘F晶缺损控制和监控
作者:
Chen J.S.
;
Wei L.K.
;
Chang Y.P.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
8.
Development of automated contact inspection system using in-line CD SEM
机译:
在线CD SEM开发自动接触式检查系统
作者:
Sang-Mun Chon
;
Sang-Bong Choi
;
Yong-Wan Kim
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
9.
Low k material optimization
机译:
低K材料优化
作者:
MacWilliams K.
;
Huang J.
;
Schulberg M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
10.
2001 IEEE International Symposium on Semiconductor Manufacturing. ISSM 2001. Conference Proceedings (Cat. No.01CH37203)
机译:
2001年IEEE国际半导体制造业国际研讨会。 ISSM 2001.会议诉讼(猫。01ch37203)
作者:
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
11.
The QUEST System in Intel Fab18: a web-based method for the management of quality
机译:
Quest System在英特尔FAB18:基于网络的质量管理方法
作者:
Kaplan Y.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
12.
Simulation and dispatching systems for production fab management
机译:
生产工厂管理的仿真和调度系统
作者:
Ishii Y.
;
Ito N.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
13.
Interferometric techniques for dielectric trench etch applications
机译:
用于介电沟槽蚀刻应用的干涉技术
作者:
Frum C.
;
Zhifeng Sui
;
Hongching Shan
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
14.
A new manufacturing control system using Mahalanobis distance for maximising productivity
机译:
使用Mahalanobis距离的新型制造控制系统,以最大限度地提高生产率
作者:
Hayashi S.
;
Tanaka Y.
;
Kodama E.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
15.
Low cost and compact solution for recycling PFCs by the continuous gas chromatography
机译:
用连续气相色谱法再循环PFC的低成本和紧凑的解决方案
作者:
Futatsuki T.
;
Tajima Y.
;
Masuda T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
16.
Methods of stabilizing linewidths in photolithography for improving ASIC plant productivity
机译:
用于改善Asic植物生产率的光刻中线宽的稳定方法
作者:
Hasegawa M.
;
Mafune Y.
;
Katoh I.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
17.
Using real time dispatcher as a decision-making support system to resolve overlapping dispatching problem in FAB manufacturing
机译:
使用实时调度员作为决策支持系统,以解决Fab制造中的重叠调度问题
作者:
Ying-Jen Chen
;
Kuo-Sung Huang
;
Will Chen
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
18.
Highly sensitive inspection system for lithography-related faults in agile-fab detecting algorithm, monitoring and evaluation of yield impact
机译:
敏捷相关差错的高灵敏度检测系统在敏捷 - FAB检测算法中,监测和评估产量影响
作者:
Matsushita H.
;
Mitsutake K.
;
Arakawa Y.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
19.
Cleanroom design for Cu-CMP processes
机译:
Cu-CMP工艺的洁净室设计
作者:
Ishiguro T.
;
Ro T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
20.
Base oxide scaling limit of thermally-enhanced remote plasma nitridation (TE-RPN) process for ultra-thin gate dielectric formation
机译:
超薄栅极电介质形成的热增强远程等离子体氮化(TE-RPN)工艺的基础氧化物缩放限制
作者:
Yu M.C.
;
Huang H.T.
;
Chen C.H.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
21.
Benchmarking 193 nm photoresists for etch resistance
机译:
基准测试193 NM光致抗蚀性抗性
作者:
Bakshi V.
;
Smith G.
;
Alzaben T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
22.
Study of high density plasma etch for borderless contact to solve bottom anti-reflective coating defect for beyond 0.18 /spl mu/m VLSI technology
机译:
基于无边界接触的高密度等离子体蚀刻解决超过0.18 / SPL MU / M VLSI技术的底部抗反射涂层缺陷
作者:
Chuan-Chieh Huang
;
Yi-Lang Wu
;
Shiou-Shiang Lin
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
23.
Remote equipment diagnosis for metal etching process
机译:
用于金属蚀刻工艺的远程设备诊断
作者:
Ogata A.
;
Iwata Y.
;
Yasuharu I.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
24.
Agile fab concepts for cost effective and QTAT mini fab
机译:
敏捷Fab概念为成本效益和QTAT MINI FAB
作者:
Mikata Y.
;
Mitsutake K.
;
Arikado T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
25.
Spectroscopic CD technology for gate process control
机译:
闸门过程控制的光谱CD技术
作者:
Levy A.
;
Lakkapragada S.
;
Mieher W.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
26.
A non-traditional approach to resolving multi-layer process-induced metal voiding
机译:
解决多层过程诱导的金属缺陷的非传统方法
作者:
Doan S.L.
;
Boon-Yong Ang
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
27.
Lithography-less ion implantation technology for agile fab
机译:
敏捷性的敏捷性离子植入技术
作者:
Shibata T.
;
Sugoruo K.
;
Sughihara K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
28.
Novel pulse pressure CVD for void free STI trench TEOS fill
机译:
用于无效的STI沟槽TEOS填充的新型脉冲压力CVD
作者:
Nakamura T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
29.
Characterization of metallic impurities for the ULSI fabrication process
机译:
ULSI制造过程中金属杂质的表征
作者:
Sakurai H.
;
Iwase M.
;
Shimazaki A.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
30.
Unified tool communication specification for 300 mm automated fab
机译:
统一工具通信规范300 mm自动化工厂
作者:
Kono S.
;
Masui T.
;
Ohyama Y.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
31.
Throughput improvement in photolithography processing for flexible production
机译:
用于柔性生产的光刻处理的吞吐量改进
作者:
Yajima S.
;
Nakano T.
;
Sadachi K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
32.
Characteristics of UV confocal microscopy inspection for detecting 0.1 /spl mu/m level defects
机译:
检测0.1 / SPL MU / M水平缺陷的UV共聚焦显微镜检查特性
作者:
Sang Mun Chon
;
Sang Bong Choi
;
Dong Chun Lee
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
33.
An integrated engineering approach to improve wafer edge yield
机译:
改善晶圆边缘产量的综合工程方法
作者:
Goh I.A.N.
;
Chua H.S.
;
Neo T.L.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
34.
The development of security system and visual service support software for on-line diagnostics
机译:
用于在线诊断的安全系统和可视化服务支持软件的开发
作者:
Inaba M.
;
Aizono T.
;
Sonobe K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
35.
Dynamic simulator for WIP analysis in semiconductor manufacturing
机译:
半导体制造中的WIP分析动态模拟器
作者:
Collins D.W.
;
Lakshman V.
;
Collins L.D.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
36.
Multiple objective APC application for an oxide CMP process in a high volume production environment
机译:
高批量生产环境中氧化物CMP工艺的多目标APC应用
作者:
Wollstein D.
;
Raebiger J.
;
Lingel S.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
37.
Novel approach for precise control of oxide thickness
机译:
精确控制氧化物厚度的新方法
作者:
Saki K.
;
Kawase S.
;
Shiozawa J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
38.
Emitter polysilicon process optimization by RGA and process proposal for interfacial oxide growth using ozonated water
机译:
RGA的发射极多晶硅工艺优化和使用臭氧水的界面氧化物生长的过程提案
作者:
Willis C.
;
Foglietti P.
;
Artinger J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
39.
Talking shop - linking training to factory indicators
机译:
谈话商店 - 将培训联系到工厂指标
作者:
Wilson S.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
40.
New chemical removing and air cooling technology for clean room recirculation air using chilled pure water showering method
机译:
用于清洁室再循环空气的新型化学除湿和空气冷却技术,使用冷水纯净水淋浴法
作者:
Wakamatsu H.
;
Matsuki M.
;
Tanaka N.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
41.
Optimization and economic analysis for tool portfolio planning in semiconductor manufacturing
机译:
半导体制造工具组合规划的优化与经济分析
作者:
Wu C.-S.
;
Chou Y.-C.
;
Lin J.-Z.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
42.
Wafer level tracking and control to full mini-environment line
机译:
晶圆级跟踪和控制到全迷你环境线
作者:
Uriga K.
;
Crandell B.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
43.
Dynamical control method of AMHS for multi-production lines
机译:
多生产线AMHS动力控制方法
作者:
Mori K.
;
Ono A.
;
Iwasaki J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
44.
300 mm factory automation experience and challenges for wafer foundry fabs
机译:
300毫米工厂自动化体验和粉丝铸造厂的挑战
作者:
Chin-Hui Hung
;
Li-Ren Lin
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
45.
Development of data logging system for chemical mechanical polishing and its application for process control
机译:
用于化学机械抛光数据测井系统的开发及其对过程控制的应用
作者:
Tanzawa A.
;
Igarashi T.
;
Matsuzaki S.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
46.
Scaling challenges for 0.13 /spl mu/m generation shallow trench isolation
机译:
缩放挑战0.13 / SPL MU / M代浅沟隔离
作者:
Kuhn K.J.
;
Din-how Mei
;
Post I.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
47.
Novel retaining ring to reduce CMP edge exclusion
机译:
新型挡环减少CMP边缘排除
作者:
Touzov M.M.
;
Fujita T.
;
Doy T.K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
48.
An automatic monitor management system for effective 300 mm fab operations
机译:
用于有效300 mm Fab操作的自动监控管理系统
作者:
Chung-Shen Wu
;
Da-Yin Liao
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
49.
Phi-scatterometry for integrated linewidth control in DRAM manufacturing
机译:
PHI-散射测定法在DRAM制造中集成线宽控制
作者:
Benesch N.
;
Hettwer A.
;
Schneider C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
50.
Optimum drying method for scan coating
机译:
扫描涂层的最佳干燥方法
作者:
Kobayashi S.
;
Kitano T.
;
Takeshita K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
51.
Development of a two-step electroplating process with a long-term stability for applying to Cu metallization of 0.1 /spl mu/m generation logic ULSIs
机译:
一种具有长期稳定性的两步电镀过程,用于施加0.1 / SPL MU / M代逻辑Ulsis的Cu金属化
作者:
Arita K.
;
Ito N.
;
Hosoi N.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
52.
Defect management technology for 100 nm generation
机译:
100名NM代的缺陷管理技术
作者:
Fujii A.
;
Muraoka T.
;
Yano T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
53.
A comparison of unified vs. segregated automated material handling systems for 300 mm fabs
机译:
统一与拆卸自动材料处理系统的比较300 mm Fab
作者:
Bahri N.
;
Reiss J.
;
Doherty B.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
54.
An environmentally friendly photoresist and ashing residue remover for Cu/low-k devices
机译:
用于Cu / Low-K器件的环保光致抗蚀剂和灰化残余物去除剂
作者:
Koito T.
;
Hirano K.
;
Nakabeppu K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
55.
Wafer ambient control for Agile FAB
机译:
敏捷Fab的晶圆环境控制
作者:
Ito S.
;
Tamaoki M.
;
Shimazaki A.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
56.
Universal architecture to improve equipment maintenance work
机译:
通用架构,提高设备维护工作
作者:
Imai A.
;
Miyamoto R.
;
Ozawa K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
57.
Control of FSG/SiO/sub 2/ interlayer conditions to prevent Al-wiring delamination caused by F accumulation at Ti/SiO/sub 2/
机译:
控制FSG / SIO / SUB 2 /层间条件,以防止在TI / SIO / SUB中的F累积引起的AL线分层/
作者:
Kawashima Y.
;
Ichikawa T.
;
Nakamura N.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
58.
Gas cleaning technique for batch furnace at Agile-fab concept manufacturing
机译:
敏捷 - 晶圆厂概念制造的批量炉气体清洁技术
作者:
Nakao T.
;
Katsui S.
;
Kamimura M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
59.
Simple tool of analysis for cycle time reduction
机译:
简单的分析工具,减少循环时间
作者:
Sada T.
;
Yuen R.A.
;
Ichikawa M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
60.
A plausible model and solutions for an HSQ-related volume shrinkage of aluminum landing pad
机译:
用于铝合金着陆垫的HSQ相关体积收缩的合理模式和解决方案
作者:
Kang-Sik Cho
;
Gyu-Chul Kim
;
Kee-Moon Chun
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
61.
A laser formed MakeLink* for customization and repair
机译:
激光形成的Makelink *用于定制和修复
作者:
Bernstein J.B.
;
Joohan Lee
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
62.
A novel pad conditioning disk design of tungsten chemical mechanical polishing process for deep sub-micron device yield improvement
机译:
钨化学机械抛光工艺新型焊盘调节盘设计,用于深次微米器件收益率改进
作者:
Wang T.C.
;
Hsieh T.E.
;
Wang Y.L.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
63.
FOUP cleaning - FOSB transportation: a challenge for manufacturing on 300 mm wafers
机译:
FOUP清洁 - FOSB运输:在300毫米晶圆上制造的挑战
作者:
Frickinger J.
;
Bugler J.
;
Zielonka G.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
64.
Two approaches to determine appropriated fab manufacturing production plan by cycle-time and WIP energy
机译:
通过循环时间和WIP能量来确定适当的FAB制造生产计划的两种方法
作者:
Ko Chen Pin
;
Lee Yen Fei
;
Ang Chee Teck
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
65.
Methodology for yield analysis based on targeted defect impact studies
机译:
基于有针对性缺陷影响研究的产量分析方法
作者:
Skumanich A.
;
Ryabova E.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
66.
Polymer control in aluminum etch chambers to achieve 450 hours MTBC
机译:
铝蚀刻室中的聚合物控制以实现<450小时MTBC
作者:
Grenci C.
;
Sauers V.
;
King R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
67.
Advanced EB-cure process and equipment for low-k dielectric
机译:
高级EB固化工艺和低k电介质设备
作者:
Onishi T.
;
Nagaseki K.
;
Shimada M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
68.
Characterization algorithm of failure distribution for LSI yield improvement
机译:
LSI产量改进的故障分布特征算法
作者:
Sugimoto M.
;
Tanaka M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
69.
Interoperable communication specification for AMHS
机译:
适用于AMHS的互操作性通信规范
作者:
Andou K.
;
Kondo H.
;
Masui T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
70.
B2B in TSMC turnkey services semiconductor manufacturing
机译:
TSMC交钥匙服务中的B2B 半导体制造
作者:
Lu J.J.
;
Hsieh D.S.T.
;
Feng E.C.C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
71.
Cycle time advantages of mini batch manufacturing and integrated metrology in a 300 mm vertical furnace
机译:
300 mm立式炉中迷你批量制造和集成计量的循环时间优势
作者:
Noben R.
;
van Driel R.
;
Claasen-Vujcic T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
72.
Silicide-related yield enhancement in a deep submicrometer CMOS process
机译:
硅化物相关屈服增强在深潜置型CMOS过程中
作者:
Qian S.
;
Solis R.
;
Haley M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
73.
Nanoscale fault isolation technique by conducting atomic force microscopy
机译:
通过导电原子力显微镜纳米级故障隔离技术
作者:
Maeda H.
;
Imai Y.
;
Koyama T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
74.
Comparative analysis of 300 mm FAB architectures impact of equipment sets on wafer cost and dynamic performance
机译:
300毫米FAB架构对设备集合对晶圆成本和动态性能影响的比较分析
作者:
Bachrach R.
;
Pool M.
;
Genovese K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
75.
Evaluation of advantages of integrating 300 mm AMHS fab layouts in the photo area
机译:
评估在照片区域中整合300 mm Amhs Fab布局的优点
作者:
Gaxiola G.
;
Hennessy L.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
76.
A fact-finding survey on the heat generation from processing equipment at a semiconductor fab under operation
机译:
在操作下半导体工厂加工设备的热量产生的实况调查
作者:
Mori N.
;
Imakawa Y.
;
Fujimori T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
77.
Multi-wafer rapid isothermal processing
机译:
多晶圆快速等温加工
作者:
Nakao K.
;
Asano T.
;
Fukushima H.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
78.
Acceleration of yield enhancement activity by utilizing real-time fail bitmap analysis
机译:
利用实时失败位图分析加速产量增强活动
作者:
Wataru Shindo
;
Sugimoto S.
;
Makara R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
79.
Managing and controlling contamination in an advanced 8' CMOS pilot line
机译:
在高级8“CMOS Pilot Line中管理和控制污染
作者:
De Backker K.
;
Deweerd W.
;
Lebon H.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
80.
Controlling Ru airborne contamination in cleanroom
机译:
在洁净室中控制Ru空中污染
作者:
Shimazaki A.
;
Sakurai H.
;
Nishiki K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
81.
Suppression of MOSFET reverse short channel effect by channel doping through gate electrode
机译:
通过栅电极的通道掺杂抑制MOSFET反向短沟道效应
作者:
Nagai K.
;
Wada T.
;
Sajima K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
82.
Improvement of CD uniformity in 180 nm LSI manufacturing by optimizing illumination system
机译:
通过优化照明系统改进180nm LSI制造的CD均匀性
作者:
Yao T.
;
Hiraike T.
;
Kobayashi K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
83.
Low cost and high reliability CMOS technologies, by 'retro process sequence'
机译:
低成本和高可靠性CMOS技术,由“复古流程序列”
作者:
Sekikawa N.
;
Ando W.
;
Momen M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
84.
A study on ILD process of simple and CMP skip using polysilazane-based SOG
机译:
基于多晶硅的SOG简单和CMP跳过的ILD过程研究
作者:
Jung-Ho Lee
;
Jung-Sik Choi
;
Dong-Jun Lee
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
85.
Capacity calculation of an AGV system in a MP2 wafer fab by means of simulation
机译:
通过模拟计算MP2晶片FAB中AGV系统的能力计算
作者:
Ronney M.
;
van der Burgt M.
;
Smit T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
86.
Comprehensive cost-effective photo defect monitoring strategy
机译:
综合经济高效的照片缺陷监测策略
作者:
Peterson I.
;
Stoller M.
;
Gudmundsson D.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
87.
The economic impact of choosing off-line, inline or in situ metrology deployment in semiconductor manufacturing
机译:
在半导体制造中选择离线,内联或原位计量部署的经济影响
作者:
Spanos C.J.
;
Jula P.
;
Leachman R.C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
88.
Gate stack preparation with high-k materials in a cluster tool
机译:
栅极堆栈准备在群组工具中用高K材料制备
作者:
De Gendt S.
;
Heyns M.
;
Conard T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
89.
Yield improvement by avoiding a metal chemical reaction in the metal etching post-treatment
机译:
通过在处理后金属蚀刻中的金属化学反应来产生改善
作者:
Suzuki T.
;
Katoh I.
;
Mafune Y.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
90.
High-sensitive organic contaminants detecting method based on cold-trap and multiple-internal-reflection FTIR clean room air monitoring systems
机译:
基于冷陷阱的高敏感有机污染物检测方法和多内反射FTIR 洁净室空气监测系统
作者:
Maruo K.
;
Maeda Y.
;
Niwano M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
91.
Towards a complete plasma diagnostic system
机译:
朝向完整的等离子体诊断系统
作者:
Zhao Dong Wu
;
Spanoc C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
92.
Shallow trench isolation scatterometry metrology in a high volume fab
机译:
浅沟槽隔离散射测量测量测量在高卷Fab中
作者:
Lensing K.R.
;
Markle R.J.
;
Stirton B.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
93.
Reduction of PFC emissions by gas circulation cleaning in plasma CVD
机译:
通过血浆CVD气体循环清洁减少PFC排放量
作者:
Nakata R.
;
Kubota H.
;
Kaji N.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
94.
Optimal etch time control design using neuro-dynamic programming
机译:
利用神经动力编程最佳蚀刻时间控制设计
作者:
Lei Yang
;
Si J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
95.
Development of the next generation Si wafer transfer/stock system
机译:
开发下一代Si晶圆转移/股票系统
作者:
Kanechika Y.
;
Kawaguchi T.
;
Nagase M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
96.
Managing, measuring and improving equipment capacity and overall equipment efficiency (OEE) using iPLUS
机译:
使用iPlus管理,测量和提高设备容量和整体设备效率(OEE)
作者:
Killeen D.
;
Gaboury P.
;
Paccard D.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
97.
Suppression of micro-bubbles in photoresist coating by step dynamic coating
机译:
通过步进动态涂层抑制光致抗蚀剂涂层中的微气泡
作者:
Sasaki T.
;
Wada H.
;
Morikawa T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
98.
Pseudo Epi, materials cost reduction
机译:
伪epi,材料成本降低
作者:
Aminzadeh M.
;
Ravi K.V.
;
Sery G.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
99.
Photoresist developer reclamation technology and system
机译:
光致抗蚀剂开发商回收技术和系统
作者:
Sugawara H.
;
Tajima Y.
;
Ohmi T.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
100.
Photoresist stripping using novel sulfuric/ozone process
机译:
使用新型硫酸/臭氧过程剥离光致抗蚀剂剥离
作者:
Tomita H.
;
Sato M.
;
Nadahara S.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE International Semiconductor Manufacturing Symposium》
|
2001年
意见反馈
回到顶部
回到首页