掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
IEEE/SEMI Advanced Semiconductor Manufacturing Conference
IEEE/SEMI Advanced Semiconductor Manufacturing Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Backside Wafer Damage Induced Wafer Front Side Defect and Yield Impact
机译:
背面晶圆损伤诱导晶片前侧缺陷和产量冲击
作者:
Neng-Cheng Wang
;
Hui-An Chang
;
Chung-I Chang
;
Wang T.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
inspection;
integrated circuit yield;
surface cleaning;
wafer-scale integration;
backside wafer damage;
clean process;
wafer front side defect;
wafer yield loss;
Dark field inspection;
FIB;
SEM;
TEM;
backside inspection tool;
dual beam review station;
e-beam inspectio;
2.
Low-Temperature Deposition of Silicon Dioxide and Silicon Nitride for Dual Spacer Application
机译:
二氧化硅和氮化硅的低温沉积,用于双垫片应用
作者:
Chatham Hood
;
Mogaard Martin
;
Treichel Helmuth
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
3.
Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process
机译:
通过使用反转PR(照片抗蚀剂)和SADP(自对准双图案化)工艺,在NAND闪存单元上的最小位线接触NAND闪存单元
作者:
Byungjoon Hwang
;
Jaehwang Shim
;
Jang-Ho Park
;
Kwangseok Lee
;
Sunghyun Kwon
;
Sang-Yong Park
;
Yoonmoon Park
;
Dong-Hwa Kwak
;
Jaekwan Park
;
Won-Seong Lee
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
NAND circuits;
contact resistance;
flash memories;
leakage currents;
photoresists;
NAND flash cell;
active area force reduction;
contact-resistance minimization;
junction leakage current suppression;
reversal photoresist;
self-align double patterning process;
4.
Root Cause Analysis and Elimination of NPN E-B Leakage Yield Loss in a SiGe BiCMOS Technology
机译:
SiGE BICMOS技术中NPN E-B泄漏产量损失的根本原因分析与消除
作者:
Raghavan Venkat
;
Ng Belinda
;
Singh Ranbir
;
Lay Tan Boon
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
5.
Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process
机译:
通过使用反转PR(照片抗蚀剂)和SADP(自对准双图案化)工艺,在NAND闪存单元上的最小位线接触NAND闪存单元
作者:
Byungjoon Hwang
;
Jaehwang Shim
;
Jang-Ho Park
;
Kwangseok Lee
;
Sunghyun Kwon
;
Sang-Yong Park
;
Yoonmoon Park
;
Dong-Hwa Kwak
;
Jaekwan Park
;
Won-Seong Lee
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
6.
Low-Temperature Deposition of Silicon Dioxide and Silicon Nitride for Dual Spacer Application
机译:
二氧化硅和氮化硅的低温沉积,用于双垫片应用
作者:
Hood Chatham
;
Martin Mogaard
;
Helmuth Treichel
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
7.
Limitations of Analysis of Metal Impurities Analysis in High-k Film
机译:
高k膜中金属杂质分析分析的局限性
作者:
Ya-Ling Po
;
Lin C.
;
Shian-Shio Chen
;
Tings Wang
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
X-ray emission spectra;
aluminium;
calcium;
chromium;
copper;
fluorescence;
hafnium;
hafnium compounds;
high-k dielectric thin films;
impurity absorption spectra;
iron;
mass spectra;
nickel;
sodium;
zinc;
HfOlt;
subgt;
2lt;
/subgt;
:Al;
HfOlt;
subgt;
2lt;
/subgt;
:Ca;
HfOlt;
subgt;
2lt;
/subgt;
:Cr;
HfOlt;
sub;
8.
Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process
机译:
通过使用反转PR(照片抗蚀剂)和SADP(自对准双图案化)工艺,在NAND闪存单元上的最小位线接触NAND闪存单元
作者:
Hwang Byungjoon
;
Shim Jaehwang
;
Park Jang-Ho
;
Lee Kwangseok
;
Kwon Sunghyun
;
Park Sang-Yong
;
Park Yoonmoon
;
Kwak Dong-Hwa
;
Park Jaekwan
;
Lee Won-Seong
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
9.
Backside Wafer Damage Induced Wafer Front Side Defect and Yield Impact
机译:
背面晶圆损伤诱导晶片前侧缺陷和产量冲击
作者:
Neng-Cheng Wang
;
Hui-An Chang
;
Chung I. Chang
;
Tings Wang
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Dark field inspection;
e-beam inspection;
Backside inspection tool;
Dual beam review station;
SEM;
FIB;
TEM;
Wafer backside damage;
10.
Yield Aware Equipment Preventive Maintenance (PM) Optimization
机译:
产量感知设备预防性维护(PM)优化
作者:
Inani A.
;
Kim J.
;
Liao M.
;
Shimazu K.
;
Lin Y.
;
Arthanari S.
;
Stine B.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
inspection;
preventive maintenance;
inline inspection;
preventive maintenance optimization;
yield aware equipment preventive maintenance;
yield aware optimization;
11.
Limitations of Analysis of Metal Impurities Analysis in High-k Film
机译:
高k膜中金属杂质分析分析的局限性
作者:
Ya-Ling Po
;
Carol Lin
;
Shian-Shio Chen
;
Tings Wang
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
12.
Advanced Dopant Metrology for 45 nm and Beyond
机译:
高级掺杂剂计量45 nm及更远
作者:
Alex Salnik
;
Derrick Shaughnessy
;
Lena Nicolaides
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
13.
Inline Defect Root Cause Analysis of Cu CMP Shorts Using Dual Beam FIB
机译:
用双梁fib的CU CMP短路的内联缺陷根本原因分析
作者:
Ronnie Porat
;
Hanan Eshwege
;
Eran Valfer
;
David Pepper
;
Fabrizio Cricchio
;
Benoit Hinschberger
;
Dave Kolar
;
Denis David
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
14.
Utilizing Design Layout Information to Improve Efficiency of SEM Defect Review Sampling
机译:
利用设计布局信息,提高SEM缺陷综述采样的效率
作者:
Scott Jansen
;
Glenn Florence
;
Alexa Perry
;
Steven Fox
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Defect Inspection;
KLA-Tencor;
2800;
DBB;
Design Based Binning;
DBG;
Design based grouping;
DBC;
Design based classification;
DCI;
Design criticality index;
15.
Early Detection of a Manufacturing Problem using Product Test Data
机译:
利用产品测试数据提前检测制造问题
作者:
Michael Beutl
;
Alexander Prossegger
;
Axel Wawrina
;
Dieter Rathei
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
16.
Wafer flatness requirements for 45nm node (65nm hp) lithography process
机译:
45nm节点(65nm HP)光刻工艺的晶圆平面要求
作者:
Motoya Okazaki
;
R. Ciari
;
L. James
;
B. Meng
;
M. Thompson
;
H. Dai
;
X. Xu
;
I. Liu
;
D. Dorflinger
;
B. Yung
;
C. Ngai
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Wafer;
Flatness;
SFQR;
Lithography;
Defocus;
Defect;
17.
Flex-ALD Lanthanum Materials for High-k/Metal-Gate Applications
机译:
用于高k /金属浇口应用的Flex-ALD镧材料
作者:
Ce Ma
;
Kee-Chan Kim
;
Graham McFarlane
;
Atul Athalye
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
18.
Using Partial Die in Radial Zone Analysis
机译:
在径向区域分析中使用部分芯片
作者:
Lori Maiorino Kermel
;
Malcolm McLean
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Edge die inspection;
Wafer edge defects;
Electrical data;
Yield loss;
Uniformity;
19.
Industry Survey of Wafer Fab Reticle Control Quality Strategies
机译:
晶圆厂掩芯控制质量策略的行业调查
作者:
Russell Dover
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Inspection;
Strategy;
STARlight;
Mask defects;
Crystal growth;
Progressive defects;
Lithography;
20.
Control of RF Match Presets: Common Source of Variation
机译:
控制RF匹配预设:常见变异源
作者:
Cindy Daigle
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
RF;
Presets;
Automation;
Process control;
Efficiency;
Yield;
21.
Development of 38nm Bit-Lines using Copper Damascene Process for 64-Giga bits NAND Flash
机译:
使用铜镶嵌方法对64-GIGA位NAND闪光进行38nm位线的开发
作者:
Byungjoon Hwang
;
Namsu Lim
;
Jang-Ho Park
;
Sowi Jin
;
Minjeong Kim
;
Jaesuk Jung
;
Byungho Kwon
;
Jongwon Hong
;
Jeehoon Han
;
Donghwa Kwak
;
Jaekwan Park
;
Jung-Dal Choi
;
Won-Seong Lee
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
22.
A Yield Model Incorporating Random and Systematic Yield Part I: Theory
机译:
一种随机和系统产量的产量模型I:理论
作者:
Hanno Melzner
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
23.
2001 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (IEEE Cat. No.01CH37160)
机译:
2001年IEEE /半高级半导体制造会议(IEEE CAT。No.01CH37160)
作者:
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
24.
Raman spectroscopy, high pressure phosphine anneal, and the electrical properties of the DRAM capacitor
机译:
拉曼光谱,高压磷油退火和DRAM电容器的电性能
作者:
Sawaya S.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
25.
Modular silicon-on-insulator process for power devices and power integrated circuits
机译:
用于电力设备和功率集成电路的模块化硅镶嵌工艺
作者:
Zingg R.P.
;
Bonne R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
26.
Non-contact wafer handling using high-intensity ultrasonics
机译:
使用高强度超声波处理非接触式晶片处理
作者:
Reinhart G.
;
Hoeppner J.
;
Zimmermann J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
27.
Studies of high-k dielectrics deposited by liquid source misted chemical deposition in MOS gate structures
机译:
MOS栅极结构中液体滤层化学沉积沉积高k电介质的研究
作者:
Ruzyllo J.
;
Lee D.-O.
;
Roman P.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
28.
Wafer level stress data successfully used as early burn-in predictor
机译:
晶圆级应力数据成功用作早期烧伤预测器
作者:
Sacedon A.
;
Merino M.A.
;
Martin V.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
29.
SwampFinder IC design for manufacture
机译:
沼泽采集IC设计制造
作者:
Maynard D.N.
;
Reuter B.B.
;
Rosner R.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
30.
Manufacturing optimization of shallow trench isolation for advanced CMOS logic technology
机译:
高级CMOS逻辑技术的浅沟隔离制造优化
作者:
Speranza T.
;
Yutong Wu
;
Fisch E.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
31.
Effective use of customized AutoSAT templates in a foundry environment for better recipe set-up
机译:
有效利用自定义的Autosat模板在铸造环境中进行更好的配方设置
作者:
Choi-Yoke S.W.
;
Small M.
;
Ghaskadvi R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
32.
Random defect limited yield using a deterministic model
机译:
使用确定性模型随机缺陷有限的产量
作者:
Singh A.
;
Rosin J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
33.
A statistical approach to cycle time management
机译:
循环时间管理的统计方法
作者:
Bonal J.
;
Fernandez M.
;
Maire-Richard O.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
34.
Enhanced self aligned contact (SAC) etch stop window by using C/sub 4/F/sub 6/ chemistry
机译:
通过使用C / SUB 4 / F / SUP 6 /化学增强自对准触点(SAC)蚀刻停止窗口
作者:
Wang J.
;
Pu B.
;
Bjokman C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
35.
Advanced process control: basic functionality requirements for lithography
机译:
高级过程控制:光刻的基本功能要求
作者:
Gould C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
36.
C4NP Lead Free Solder Bumping and 3D Micro Bumping
机译:
C4NP无铅焊料凸起和3D微碰撞
作者:
James Busby
;
David Hawken
;
Eric Perfecto
;
Bing Dang
;
Jayshree Shah
;
Klaus Ruhmer
;
Peter Gruber
;
Renee Weisman
;
Stephen Buchwalter
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
37.
Getting Real with Real Time Dispatching in Metrology; an RTD Solution to Metrology's Imperceptible Cycle Time Impact
机译:
真实地派出计量计量;对计量令人不安的循环时间影响的RTD解决方案
作者:
Preston L. Beaumont
;
Ashley Goad
;
Dominic Perira
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Manufacturing Cycle Time;
Metrology;
Sampling;
Overall Equipment Efficiency (OEE);
Productivity;
Throughput;
Lean Manufacturing;
Real Time Dispatching (RTD);
Chemical Mechanical Polishing (CMP);
Statistical Process Control (SPC);
Scheduling Heuristics;
38.
Generating Cycle Time-Throughput Curves using Effective Process Time based Aggregate Modeling
机译:
使用基于生成的基于过程时间的聚合建模生成循环时间吞吐量曲线
作者:
C. P. L. Veeger
;
L. F. P. Etman
;
J. van Herk
;
J. E. Rooda
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Cycle time;
Simulation;
CT-TH curves;
Manufacturing performance;
Factory dynamics;
39.
Overlay Control Requirements for Immersion Lithography
机译:
覆盖浸入式光刻的控制要求
作者:
B. Eichelberger
;
M. Adel
;
P. Izikson
;
D. Tien
;
C. K. Huang
;
J. C. Robinson
;
Pedro Herrera
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Overlay metrology;
Immersion;
Mix and match;
Sample plan;
Process control;
Advanced Metrology;
40.
Long throw and i-PVD liners for W-plug contact and via applications
机译:
用于W-PLUG联系人的长抛光和I-PVD衬垫和通过应用程序
作者:
Burgess S.R.
;
Buchanan K.E.
;
Butler D.C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
41.
New process flow for combined three layer and self aligned contacts
机译:
用于组合三层和自对准触点的新工艺流程
作者:
Spitzlsperger G.
;
Liedtke T.
;
Shioya M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
42.
How differentiating between utilization of effective availability and utilization of effective capacity leads to a better understanding of performance metrics
机译:
有效可用性和利用有效能力的利用之间的区分如何更好地了解性能指标
作者:
Butler K.
;
Matthews J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
43.
The application and validation of a new robust windowing method for the Poisson yield model
机译:
泊松屈服模型新鲁棒窗口方法的应用与验证
作者:
Langford R.E.
;
Liou J.J.
;
Raghavan V.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
44.
Cost reduction using systematic target setting of the reference fab methodology
机译:
使用参考FAB方法的系统目标设置减少成本
作者:
Plieninger R.
;
Muller U.
;
Ehm H.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
45.
Determining the capacity components of different classes of multi chamber tools
机译:
确定不同类别工具的容量分量
作者:
Connerney K.
;
Martin D.
;
Tomka R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
46.
Equipment interface: the implementation heart of APC
机译:
设备界面:APC的实现心脏
作者:
Doss C.
;
Stewart C.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
47.
Efficient killer-defect control using reliable high-throughput SEM-ADC
机译:
使用可靠的高通量SEM-ADC有效的杀手缺陷控制
作者:
Watanabe K.
;
Takagi Y.
;
Obara K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
48.
Achieving good correlation results between bitmap and TENCOR data
机译:
在位图和Tencor数据之间实现良好的相关结果
作者:
Merino M.
;
Mateos C.
;
Terryll K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
49.
Thickness and density measurement for new materials with combined X-ray technique
机译:
具有X射线技术的新材料的厚度和密度测量
作者:
Terada S.
;
Murakami H.
;
Nishihagi K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
50.
Is your gas filter as clean as you think? Evaluation of UHP gas filters of differing membrane types for contamination contribution
机译:
你的气体过滤器是否如此清洁?不同膜类型的UHP气体过滤器评价污染贡献
作者:
Colorado A.
;
Vakhshoori K.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
51.
An investigation of circular resist residue defects in the development of a flash memory process flow
机译:
循环抗蚀剂残留缺陷在闪存过程流动发展中的研究
作者:
Erhardt J.
;
Phan K.
;
Cheng J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
52.
Statistical bin analysis on wafer probe
机译:
晶圆探头的统计箱分析
作者:
Muriel S.
;
Garcia P.
;
Maire-Richard O.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2001年
53.
Scheduling for Backend Manufacturing with SPTB Heuristic
机译:
SPTB启发式后端制造的安排
作者:
Mohile Milind G.
;
Sarin Subhash C.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
engineering;
manufacturing;
manufacturing planning;
manufacturing scheduling;
modeling;
optimization methods;
production management;
scheduling;
systems engineering;
54.
Scheduling for Backend Manufacturing with SPTB Heuristic
机译:
SPTB启发式后端制造的安排
作者:
Milind G. Mohile
;
Subhash C. Sarin
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Engineering;
Manufacturing;
Manufacturing planning;
Manufacturing scheduling;
Modeling;
Optimization methods;
Production management;
Scheduling;
Systems engineering;
55.
Software reliability qualification for semi-conductor manufacturing systems
机译:
半导体制造系统的软件可靠性资格
作者:
de Jong I. S. M.
;
Boumen R.
;
van de Mortel-Fronczak J. M.
;
Rooda J. E.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
SEMI-E10;
Wafer scanner software;
reliability qualification;
reliability test modeling;
test sequencing;
56.
Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
机译:
原子层沉积:微电子器件制造的启用技术
作者:
Lee Fourmun
;
Marcus Steve
;
Shero Eric
;
Wilk Glen
;
Swerts Johan
;
Maes Jan Willem
;
Blomberg Tom
;
Delabie Annelies
;
Gros-Jean Mickael
;
Deloffre Emilie
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
57.
Software reliability qualification for semi-conductor manufacturing systems
机译:
半导体制造系统的软件可靠性资格
作者:
de Jong I.S.M.
;
Boumen R.
;
van de Mortel-Fronczak J.M.
;
Rooda J.E.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
maintenance engineering;
manufacturing systems;
semiconductor device manufacture;
software reliability;
standards;
ASML;
SEMI-E10 standard;
reliability engineering;
semiconductor manufacturing systems;
software reliability qualification;
system level run productio;
58.
Software reliability qualification for semi-conductor manufacturing systems
机译:
半导体制造系统的软件可靠性资格
作者:
I. S. M. de Jong
;
R. Boumen
;
J. M. van de Mortel-Fronczak
;
J. E. Rooda
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Wafer scanner software;
Reliability qualification;
Reliability test modeling;
Test sequencing;
SEMI-E10;
59.
A Methodology for Measuring, Reporting, Navigating, and Analyzing Overall Equipment Productivity (OEP)
机译:
用于测量,报告,导航和分析整体设备生产率的方法(OEP)
作者:
Chakravarthy Gokul R
;
Keller Paul N
;
Wheeler Benjamin R
;
Van Oss Susan
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Capacity Loss Components;
Cycle time;
Industrial Engineering;
Manufacturing Efficiency;
Operational Curve;
Overall Equipment Efficiency (OEE);
Overall Equipment Productivity (OEP);
SEMATECH;
Tool Utilization;
X-factor;
X-factor Contribution;
60.
Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
机译:
原子层沉积:微电子器件制造的启用技术
作者:
Fourmun Lee
;
Marcus S.
;
Shero E.
;
Wilk G.
;
Swerts J.
;
Maes J.W.
;
Blomberg T.
;
Delabie A.
;
Gros-Jean M.
;
Deloffre E.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
DRAM chips;
atomic layer deposition;
capacitors;
magnetic heads;
nanoelectronics;
semiconductor device manufacture;
thin film devices;
ALD processing;
DRAM stack capacitors;
atomic layer deposition technology;
electrical properties;
gate stack deposition;
mechanical;
61.
Immersion Lithography Ready for 45 nm Manufacturing and Beyond
机译:
浸入光刻准备45 nm制造和超越
作者:
Soichi Owa
;
Katsushi Nakano
;
Hiroyuki Nagasaka
;
Tomoharu Fujiwara
;
Tomoyuki Matsuyama
;
Yasuhiro Ohmura
;
Holly Magoon
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
62.
Immersion Lithography Ready for 45 nm Manufacturing and Beyond
机译:
浸入光刻准备45 nm制造和超越
作者:
Owa Soichi
;
Nakano Katsushi
;
Nagasaka Hiroyuki
;
Fujiwara Tomoharu
;
Matsuyama Tomoyuki
;
Ohmura Yasuhiro
;
Magoon Holly
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
63.
A Methodology for Measuring, Reporting, Navigating, and Analyzing Overall Equipment Productivity (OEP)
机译:
用于测量,报告,导航和分析整体设备生产率的方法(OEP)
作者:
Chakravarthy G.R.
;
Keller P.N.
;
Wheeler B.R.
;
Van Oss S.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
data analysis;
integrated circuit manufacture;
productivity;
semiconductor device manufacture;
OEP analysis;
X-factor Contribution Box;
comprehensible visualization interface;
overall equipment productivity;
pivotal data analysis tool;
semiconductor industry;
Capa;
64.
A Methodology for Measuring, Reporting, Navigating, and Analyzing Overall Equipment Productivity (OEP)
机译:
用于测量,报告,导航和分析整体设备生产率的方法(OEP)
作者:
Gokul R. Chakravarthy
;
Paul N. Keller
;
Benjamin R. Wheeler
;
Susan Van Oss
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
65.
Immersion Lithography Ready for 45 nm Manufacturing and Beyond
机译:
浸入光刻准备45 nm制造和超越
作者:
Owa S.
;
Nakano K.
;
Nagasaka H.
;
Fujiwara T.
;
Matsuyama T.
;
Ohmura Y.
;
Magoona H.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
immersion lithography;
integrated circuit manufacture;
Rayleigh criterion;
enhanced resolution capability;
immersion defectivity;
immersion fluids;
immersion lithography;
immersion scanners;
66.
Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
机译:
原子层沉积:微电子器件制造的启用技术
作者:
Fourmun Lee
;
Steve Marcus
;
Eric Shero
;
Glen Wilk
;
Johan Swerts
;
Jan Willem Maes
;
Tom Blomberg
;
Annelies Delabie
;
Mickael Gros-Jean
;
Emilie Deloffre
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
67.
Scheduling for Backend Manufacturing with SPTB Heuristic
机译:
SPTB启发式后端制造的安排
作者:
Mohile M.G.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
integrated circuit manufacture;
scheduling;
SPTB heuristic;
backend manufacturing;
mixed-model flow shop scheduling problem;
semiconductor fabrication facility;
engineering;
manufacturing;
manufacturing planning;
manufacturing scheduling;
modeling;
optimization met;
68.
Lowering Cost of Ownership through Predictive Maintenance
机译:
通过预测维护降低所有权成本
作者:
Christopher Conley
;
Daniel D. Mootz
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
69.
Lowering Cost of Ownership through Predictive Maintenance
机译:
通过预测维护降低所有权成本
作者:
Conley Christopher
;
Mootz Daniel D.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
70.
Lowering Cost of Ownership through Predictive Maintenance
机译:
通过预测维护降低所有权成本
作者:
Conley C.
;
Mootz D.D.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
cost reduction;
maintenance engineering;
photolithography;
production equipment;
reliability;
cost-of-ownership;
maintenance time;
photolithography equipment;
predictive maintenance;
reliability centered maintenance;
unscheduled downtime;
71.
Novel Method to Characterize Post Laser Anneal Surface Condition for 45nm Process Technology Node
机译:
45nm工艺技术节点突出激光退火表面条件的新方法
作者:
W. Y. Teng
;
J. H. Yeh
;
P. Chen
;
S. Radovanovic
;
D. K. Chen
;
H. Cheng
;
U. Mahajan
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Ultra Shallow Junctions (USJ);
Laser Spike Anneal (LSA);
Slip lines;
Unpatterned Wafers;
Surfscan;
SP2;
72.
Novel Method to Characterize Post Laser Anneal Surface Condition for 45nm Process Technology Node
机译:
45nm工艺技术节点突出激光退火表面条件的新方法
作者:
Teng W.-Y.
;
Yeh J.-H.
;
Chen P.
;
Radovanovic S.
;
Chen D.K.
;
Cheng H.
;
Mahajan U.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
integrated circuit technology;
laser beam annealing;
laser beams;
process monitoring;
IC technology;
LSA;
UV laser scattering technology;
defect monitoring techniques;
full-wafer surface monitoring;
laser spike annealing process;
process development;
process monito;
73.
Improved Capabilities for the 372M and 472 Polishing Platforms Robert L. Rhoades, Ph.D. of Entrepix, Inc.
机译:
改进了372米和472粒抛光平台的能力罗伯特L. Rhoades,Ph.D. Entrepix,Inc。
作者:
Sanjay Basak
;
Chris Karlsrud
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
74.
Pursuing the Increase of Factory Automation in 200mm Frontend Manufacturing to Manage the Changes Imposed by the Transition from High-Volume Low-Mix to High-Mix Low-Volume Production
机译:
追求200mm前端制造业工厂自动化的增加,管理从大批量低聚到高混合低批量生产的过渡施加的变化
作者:
Harald Heinrich
;
Germar Schneider
;
Frank Heinlein
;
Sophia Keil
;
Arthur Deutschlander
;
Rainer Lasch
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2008年
关键词:
Automated material handling system (AMHS);
Conveyor;
Factory automation;
Manufacturing;
Key performance indicator;
Cycle time (CT);
Utilization;
Head count efficiency;
Cost efficiency;
Variability;
Quality;
Layout;
75.
Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning
机译:
新颖的技术在65 nm和45nm过程开发期间识别系统和随机缺陷,以加快产量学习
作者:
Yeh J.H.
;
Park A.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
inspection;
integrated circuit testing;
integrated circuit yield;
sampling methods;
scanning electron microscopy;
SEM review;
STI cave defect;
advanced bright field inspection tools;
defect inspection technology;
defect sampling;
faster yield learning;
random defec;
76.
Importance of Qualification Management for Wafer Fabs
机译:
晶圆厂资格管理的重要性
作者:
Johnzen Carl
;
Dauzere-Peres Stephane
;
Vialletelle Philippe
;
Yugma Claude
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Production Efficiency Measurements;
Qualification Management;
Semiconductor Manufacturing;
77.
Managing Sunset Closure of a Semiconductor Technology parallel to aggressive new process Ramp
机译:
管理日落和关闭半导体技术与积极的新工艺斜坡
作者:
Sylvain Bouhnik
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Technology sunset;
Process ramp;
Process Deramp;
Capacity planning;
WIP management;
78.
An approach for simulating consistent global and local scheduling
机译:
一种模拟一致的全局和本地调度的方法
作者:
Bureau M.
;
Dauzere-Peres S.
;
Yugma C.
;
Vermarien L.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Scheduling;
WIP management;
dispatching;
simulation;
79.
Managing Sunset Closure of a Semiconductor Technology parallel to aggressive new process Ramp
机译:
管理日落和关闭半导体技术与积极的新工艺斜坡
作者:
Bouhnik Sylvain
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Capacity planning;
Process Deramp;
Process ramp;
Technology sunset;
WIP management;
80.
Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning
机译:
新颖的技术在65 nm和45nm过程开发期间识别系统和随机缺陷,以加快产量学习
作者:
Yeh J. H.
;
Park Allen
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
81.
Managing Sunset Closure of a Semiconductor Technology parallel to aggressive new process Ramp
机译:
管理日落和关闭半导体技术与积极的新工艺斜坡
作者:
Bouhnik S.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
organisational aspects;
semiconductor device manufacture;
flash products;
logic devices;
process ramp;
semiconductor manufacturing;
sunset procedures;
Capacity planning;
Process Deramp;
Process ramp;
Technology sunset;
WIP management;
82.
Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning
机译:
新颖的技术在65 nm和45nm过程开发期间识别系统和随机缺陷,以加快产量学习
作者:
J. H. Yeh
;
Allen Park
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
83.
Importance of Qualification Management for Wafer Fabs
机译:
晶圆厂资格管理的重要性
作者:
Johnzen C.
;
Dauzere-Peres S.
;
Vialletelle P.
;
Yugma C.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
costing;
fault diagnosis;
integrated circuit manufacture;
investment;
production facilities;
productivity;
wafer-scale integration;
fault detection frequency;
investment costs;
qualification management;
semiconductor manufacturing facilities;
wafer fab productivity;
84.
Importance of Qualification Management for Wafer Fabs
机译:
晶圆厂资格管理的重要性
作者:
Carl Johnzen
;
Stephane Dauzere-Peres
;
Philippe Vialletelle
;
Claude Yugma
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Qualification Management;
Semiconductor Manufacturing;
Production Efficiency Measurements;
85.
In Line Electricalmonitor of Plasma-Enhanced Silicon Oxynitirde for 70-Nm Node or Beyond
机译:
在等离子体增强型硅氧织物的线路电气中,用于70-nm节点或超出
作者:
Wu Shu-Hao
;
Ives Pierre
;
Han Chin-Ming
;
Chang Timothy ST
;
Jian Mi
;
Zhang Xiafang
;
Fu Steven
;
Cheng Harvey
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Corona based QV measurement;
Gate leakage;
Silicon oxynitride (SiON);
equaivelent oxide thickness (EOT);
in-line electrical monitor;
86.
Implementation of Polycrystalline X-Ray Diffraction for Semiconductor Metrology
机译:
半导体计量多晶X射线衍射的实现
作者:
P. W. DeHaven
;
M. Jeanneret
;
B. Gittleman
;
K. Kozaczek
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
87.
Technology-Model-Product Parallel Design for High Performance and Rapid Time to Market 65nm Technology-Generation Microprocessors
机译:
技术模型 - 产品并行设计高性能和高速推销65nm技术发电微处理器
作者:
Logan L.R.
;
Greene B.J.
;
McStay K.
;
Liang Q.
;
Na M.-H.
;
Nowak E.
;
Ku S.-H.
;
Friedrich J.
;
Clougherty F.
;
Dufrene B.
;
Zamdmer N.
;
Chidambarrao D.
;
Williams R.
;
McCullen J.
;
Slisher D.
;
Springer S.
;
Crabbe E.
;
Freeman G.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
CMOS integrated circuits;
integrated circuit design;
microprocessor chips;
CMOS-technology development;
early product engineering;
full-volume manufacturing;
games processors;
microprocessor chips;
product design;
product parallel design;
size 65 nm;
88.
Technology-Model-Product Parallel Design for High Performance and Rapid Time to Market 65nm Technology-Generation Microprocessors
机译:
技术模型 - 产品并行设计高性能和高速推销65nm技术发电微处理器
作者:
L. R. Logan
;
B. J. Greene
;
K. McStay
;
Q. Liang
;
M. H. Na
;
E. Nowak
;
S. H. Ku
;
J. Friedrich
;
F. Clougherty
;
B. Dufrene
;
N. Zamdmer
;
D. Chidambarrao
;
R. Williams
;
J. McCullen
;
D. Slisher
;
S. Springer
;
E. Crabbe
;
G. Freeman
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
89.
In Line Electricalmonitor of Plasma-Enhanced Silicon Oxynitirde for 70-Nm Node or Beyond
机译:
在等离子体增强型硅氧织物的线路电气中,用于70-nm节点或超出
作者:
Shu-Hao Wu
;
Ives P.
;
Chin-Ming Han
;
Chang T.S.T.
;
Mi Jian
;
Xiafang Zhang
;
Fu S.
;
Cheng H.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
nitridation;
semiconductor technology;
silicon compounds;
Quantox XP;
SiON;
corona oxide silicon technology;
equivalent oxide;
gate leakage;
gate material characteristic;
in line electrical monitor;
optical thickness;
plasma-enhanced silicon oxynitride;
Corona based;
90.
IN LINE ELECTRICAL MONITOR OF PLASMA-ENHANCED SILICON OXYNITIRDE FOR 70-NM NODE OR BEYOND
机译:
在等离子体增强型氧化硅氧织物的线路电气监视器中,用于70-nm节点或超越
作者:
Shu-Hao Wu
;
Pierre Ives
;
Chin-Ming Han
;
Timothy S. T. Chang
;
Mi Jian
;
Xiafang Zhang
;
Steven Fu
;
Harvey Cheng
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
In-line electrical monitor;
Corona based QV measurement;
Silicon oxynitride (SiON);
Equaivelent oxide thickness (EOT);
Gate leakage;
91.
Infrared Reflectometry For Metrology Of Trenches In Power Devices
机译:
电力设备中沟槽计量的红外反射测度测量
作者:
C. A. Duran
;
A. A. Maznev
;
G. T. Merklin
;
A. Mazurenko
;
M. Gostein
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
92.
Infrared Reflectometry For Metrology Of Trenches In Power Devices
机译:
电力设备中沟槽计量的红外反射测度测量
作者:
Duran C.A.
;
Maznev A.A.
;
Merklin G.T.
;
Mazurenko A.
;
Gostein M.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
93.
Infrared Reflectometry For Metrology Of Trenches In Power Devices
机译:
电力设备中沟槽计量的红外反射测度测量
作者:
Duran C.A.
;
Maznev A.A.
;
Merklin G.T.
;
Mazurenko A.
;
Gostein M.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
power semiconductor devices;
process control;
reflectometry;
semiconductor device manufacture;
MBIR;
model-based infrared reflectometry;
power semiconductor device fabrication process;
process control;
silicon power devices;
trench metrology;
94.
Implementation of Polycrystalline X-Ray Diffraction for Semiconductor Metrology
机译:
半导体计量多晶X射线衍射的实现
作者:
DeHaven P.W.
;
Jeanneret M.
;
Gittleman B.
;
Kozaczek K.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
X-ray diffractometers;
semiconductor device measurement;
thin films;
X ray diffractometer;
polycrystalline thin films;
problem diagnostics;
process development;
quantitative structural data;
routine line monitor;
semiconductor metrology;
tool matching;
95.
Technology-Model-Product Parallel Design for High Performance and Rapid Time to Market 65nm Technology-Generation Microprocessors
机译:
技术模型 - 产品并行设计高性能和高速推销65nm技术发电微处理器
作者:
Logan L. R.
;
Greene B. J.
;
McStay K.
;
Liang Q.
;
Na M -H.
;
Nowak E.
;
Ku S. -H.
;
Friedrich J.
;
Clougherty F.
;
Dufrene B.
;
Zamdmer N.
;
Chidambarrao D.
;
Williams R.
;
McCullen J.
;
Slisher D.
;
Springer S.
;
Crabbe E.
;
Freeman G.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
96.
Implementation of Polycrystalline X-Ray Diffraction for Semiconductor Metrology
机译:
半导体计量多晶X射线衍射的实现
作者:
DeHaven P.W.
;
Jeanneret M.
;
Gittleman B.
;
Kozaczek K.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
97.
Metal Hardmask Etch Residue Removal For Advanced Copper / Low-k Devices
机译:
用于高级铜/低K器件的金属硬掩模蚀刻残留物
作者:
Cui Hua
;
Kirk Simon J
;
Maloney David
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
98.
Non-Contact SPV-based Method for Advanced Ion Implant Process Control
机译:
基于非接触式SPV的高级离子植入过程控制方法
作者:
Fabrizio Pennella
;
Pio Pianezza
;
Edward Tsidikovski
;
Gerard Krzych
;
Kenneth Steeples
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
Surface photo voltage (SPV);
Ion implant;
Carrier lifetime;
99.
A dispatcher simulator for a photolithography workshop
机译:
用于光刻研讨会的调度器模拟器
作者:
Yugma C.
;
Riffart R.
;
Dauzere-Peres S.
;
Vialletelle P.
;
Buttin F.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
100.
Single Wafer Management: A solution for 300mm Prime improved wafer storage quality
机译:
单晶圆管理:一个300mm Prime和改进晶圆存储质量的解决方案
作者:
Zaugg F.
;
Ritzmann H.
会议名称:
《IEEE/SEMI Advanced Semiconductor Manufacturing Conference》
|
2007年
关键词:
integrated circuit yield;
quality management;
ISMI-Sematech meeting;
overall cycle time reduction;
semiconductor industry;
single wafer management;
size 300 mm;
size 450 mm;
state-of-the-art fabs technology;
time 3 month to 4 month;
wafer storage quality;
意见反馈
回到顶部
回到首页