掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask technology
Conference on photomask technology
召开年:
2017
召开地:
San Diego(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Fabrication of Cylindrical Micro-Parts Using Synchronous Rotary Scan-Projection Lithography and Chemical Etching
机译:
使用同步旋转扫描投影光刻和化学蚀刻法制造圆柱形微零件
作者:
Kaiki Ito
;
Yuta Suzuki
;
Toshiyuki Horiuchi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
scan-projection lithography;
chemical etching;
cylindrical pipe;
stent;
2.
EUV Reticle Print Verification with Advanced Broadband Optical Wafer Inspection and e-Beam Review Systems
机译:
具有先进宽带光学晶圆检查和电子束查看系统的EUV标线打印验证
作者:
Ravikumar Sanapala
;
Andrew Cross
;
Moshe Preil
;
Jin Qian
;
Shishir Suman
;
Vidyasagar Anantha
;
Kaushik Sah
;
Scott Eitapence
;
Danilo De Simone
;
Dieter Van Den Heuvel
;
Philippe Foubert
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV mask adders;
EUV print check;
EUV reticle qualification;
optical inspection;
repeater;
EM simulations;
3.
Implementation of CDSEM Contour Extraction for OPC Verification
机译:
用于OPC验证的CDSEM轮廓提取的实现
作者:
Liang Cao
;
Jie Zhang
;
Hongxin Zhang
;
Jiechang Hou
;
Guoxiang Ning
;
William Wilkinson
;
Shaowen Gao
;
Norman Chen
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Contour Extraction;
OPC Verification;
CDSEM;
4.
Advanced Photomask Chrome Etch Selectivity without Sacrifice
机译:
无需牺牲先进的光掩模铬蚀刻选择性
作者:
Michael Morgan
;
Chris Johnson
;
Kristen Bevlin
;
Dwarakanath Geerpuram
;
Russ Westerman
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Cr etch;
photoresist;
EUV;
photomask;
selectivity;
ioneutral control;
pre-treatment;
5.
Improving back end of line productivity through smart automation
机译:
通过智能自动化提高后端生产力
作者:
Kristian Schulz
;
Kokila Egodage
;
Gilles Tabbone
;
Anthony Garetto
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Mask shop automation;
smart automation;
BEOL automation;
productivity improvement;
reliability improvement;
defectivity;
6.
Advanced Process Control Based on Litho-Patterning Density
机译:
基于光刻图案密度的高级过程控制
作者:
Yuping Ren
;
Guoxiang Ning
;
Wenchao Jiang
;
Xiang Hu
;
Lloyd Litt
;
Paul Ackmann
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
APC;
Lot to Lot Variation;
Wafer to Wafer Variation;
Pattern Density;
7.
Micro-defect repair assisted with contour-based 2D metrology
机译:
基于轮廓的2D计量辅助微缺陷修复
作者:
Irene Shi
;
Eric Guo
;
Max Lu
;
Izumi Santo
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
micro defect;
wafer printability;
Contour-based 2D Metrology;
8.
Machine learning assisted SRAF placement for full chip
机译:
机器学习辅助SRAF放置全芯片
作者:
Shibing Wang
;
Jing Su
;
Quan Zhang
;
Weichun Fong
;
Dezheng Sun
;
Stanislas Baron
;
Cuiping Zhang
;
Chenxi Lin
;
Been-Der Chen
;
Rafael C. Howell
;
Stephen D. Hsu
;
Larry Luo
;
Yi Zou
;
Yen-Wen Lu
;
Yu Cao
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Sub-resolution assist feature (SRAF);
Lithography;
Process window and machine learning assisted SRAF;
9.
2017 Mask maker survey conducted by the eBeam Initiative
机译:
eBeam Initiative进行的2017年面膜制造商调查
作者:
Aki Fujimura
;
Jan Willis
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
mask industry;
photomask;
mask yield;
mask data preparation;
eBeam;
multi-beam;
EUV;
MPC;
10.
An efficient tool to rewrite a VSB12 format jobdeck for any target VSB12 machine
机译:
为任何目标VSB12机器重写VSB12格式作业台的有效工具
作者:
Juan Olate
;
Gary Meyers
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
VSB;
NuFlare;
VSB12;
Converter;
11.
Full-chip GPU-Accelerated Curvilinear EUV Dose and Shape Correction
机译:
全芯片GPU加速曲线EUV剂量和形状校正
作者:
Ryan Pearman
;
Abhishek Shendre
;
Oleg Syrel
;
Harold Zable
;
Ali Bouaricha
;
Mariusz Niewczas
;
Bo Su
;
Leo Pang
;
Aki Fujimura
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
ILT;
EUV;
MPC;
Multi-beam;
PSF;
modeling;
12.
Pellicle films supporting the ramp to HVM with EUV
机译:
膜片支持EUV向HVM的提升
作者:
P. J. van Zwol
;
M. Nasalevich
;
W. P. Voorthuijzen
;
E. Kurganova
;
A. Notenboom
;
D. Vies
;
M. Peter
;
W. Symens
;
A.J.M. Giesbers
;
J.H. Klootwijk
;
R.W.E. van de Kruijs
;
W.J. van der Zande
会议名称:
《Conference on photomask technology》
|
2017年
13.
Development of E U V pellicle for suppression of contamination, haze and outgas generation
机译:
开发E U V防护膜以抑制污染,雾霾和废气生成
作者:
Yosuke Ono
;
Kazuo Kohmura
;
Atsushi Okubo
;
Daiki Taneichi
;
Hisako Ishikawa
;
Tsuneaki Biyajima
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV pellicle;
adhesive;
outgas;
haze;
carbon contamination;
14.
Edge Placement Errors in EUV from aberration variation
机译:
像差变化引起的EUV中的边缘放置错误
作者:
Ananthan Raghunathan
;
Germain Fenger
;
Michael Lam
;
Chris Clifford
;
Kostas Adam
;
and John Sturtevant
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
OPC;
modeling;
aberrations;
EUV;
tool matching;
15.
Selective measurement of small metrology targets using CD-GISAXS
机译:
使用CD-GISAXS选择性测量小型计量目标
作者:
Mika Pflueger
;
Victor Soltwisch
;
Prank Scholze
;
Michael Krumrey
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
grazing-incidence small-angle X-ray scattering;
GISAXS;
metrology;
gratings;
spot size;
beam footnrint;
16.
Automated Defect Disposition with AIMS™ AutoAnalysis
机译:
通过AIMS™自动分析自动进行缺陷处理
作者:
Guy Russell
;
David Jenkins
;
Arosha Goonesekera
;
Kay Dornbusch
;
Vahagn Sargsyan
;
Hendrik Zachmann
;
Ute Buttgereit
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
AIMS™;
AutoAnalysis;
defect analysis;
defect disposition;
defect review;
automation;
17.
Characterization of Acoustic Cavitation from a Megasonic Nozzle Transducer for Photomask Cleaning
机译:
用于清洁光罩的Megasonic喷嘴换能器的空化特性
作者:
Nicolas Candia
;
Claudio Zanelli
;
Johann Brunner
;
Joachim Straka
;
Zhenxing Han
;
Sam Howard
;
Petrie Yam
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
photomask;
cleaning;
acoustic cavitation;
megasonic;
stable cavitation;
transient cavitation;
dual nozzle transducer;
18.
Progress in Nanoimprint Wafer and Mask Systems for High Volume Semiconductor Manufacturing
机译:
用于大规模半导体制造的纳米压印晶圆和掩模系统的进展
作者:
Kohei Imoto
;
Mitsuru Hiura
;
Hiroshi Morohoshi
;
Tatsuya Hayashi
;
Atsushi Kimura
;
Yoshio Suzaki
;
Jin Choi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
nanoimprint lithography;
NIL;
particle control;
image placement accuracy;
mask replication;
HODC;
19.
Automatic SRAF Printing Detection Based on Contour Extraction
机译:
基于轮廓提取的自动SRAF打印检测
作者:
Liang Cao
;
Jie Zhang
;
Wenchao Jiang
;
Jiechang Hou
;
Dongqing Zhang
;
Wei-long Wang
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
contour extraction;
SEM;
metrology;
SRAF detection;
SRAF modeling;
20.
Aerial image ORC checks and their correlation to wafer-edge yield limitation for metals: A study and an OPC resolution
机译:
航空图像ORC检查及其与金属晶圆边缘良率限制的关系:一项研究和OPC分辨率
作者:
Tamer Desouky
;
Yixiao Zhang
;
Mark Terry
;
Haizhou Yin
;
Muhammed Pallachali
;
Nicolai Petrov
;
Teck Jung Tang
;
Fadi Batarseh
;
Ahmed Khalil
;
Pietro Babighian
;
Rohan Deshpande
;
Deborah Ryan
;
Rao Desineni
;
Shweta Khokale
;
Feng Wang
;
Sang-Kee Eah
;
Jiechang Hou
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
OPC;
DFM;
CFM;
lithography;
E-beam;
Diagnosis;
aerial checks;
ORC;
21.
Direct laser writing: virtual mask optimization for optical quality control artefact
机译:
直接激光写入:用于光学质量控制伪像的虚拟掩模优化
作者:
Miikka Jaervinen
;
Gianmario Scotti
;
Tuomas Vainikka
;
Edward Hæggstroem
;
Ivan Kassamakov
会议名称:
《》
|
2017年
关键词:
Scanning white light interferometry (SWLI);
Design of the Experiment (DOE);
direct laser writing (DLW);
micro-electro-mechanical systems (MEMS);
22.
Transparent and conductive backside coating of EUV lithography masks for Ultra Short Pulse laser correction
机译:
用于超短脉冲激光校正的EUV光刻掩模的透明导电背面涂层
作者:
Rinu Abraham Maniyara
;
Dhriti Sundar Ghosh
;
Valerio Pruneri
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Registration;
Photomask;
Image Placement;
EUV;
Overlay;
RegC®;
Transparent Electrode;
ultrathin metal films;
backside coating;
Abrasion resistant;
23.
Impact of feature extraction to accuracy of machine learning based hot spot detection
机译:
特征提取对基于机器学习的热点检测准确性的影响
作者:
Takashi Mitsuhashi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Lithography;
Hotspot Detection;
Feature Extraction;
Comparison;
Machine Learning;
Support Vector Machine;
SVM;
24.
Rigorous simulation of EUV mask pellicle
机译:
严格模拟EUV掩模薄膜
作者:
Yulu Chen
;
Xiangyu Zhou
;
Ulrich Klostermann
;
Lei Sun
;
Obert Wood
;
Mariya Braylovska
;
Sajan Marokkey
;
Francis Goodwin
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV Lithography;
EUV Mask;
Pellicle;
Coherence;
Electromagnetic Field Simulations;
25.
The Impact of Inconsistency in Assist Feature Generation on OPC Performance
机译:
辅助功能生成不一致对OPC性能的影响
作者:
Amr Abdo
;
Ramya Viswanathan
;
Donald Samuels
;
David Conklin
会议名称:
《Conference on photomask technology》
|
2017年
26.
VSB Fracture Optimization for Mask Write Time Reduction
机译:
VSB断裂优化可减少掩模写入时间
作者:
Lei Sun
;
Dan Hung
;
John Bums
;
Bill Moore
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
VSB;
Fracture Optimization;
Shot Count;
Fracture Quality;
Mask Write Time;
27.
Adopting rigorous verification flow in fabrication of silicon photonic devices
机译:
在硅光子器件的制造中采用严格的验证流程
作者:
Siti Noor Aisyah Binti Yahya
;
Mogana Sundharam A/L Sathisivan
;
Chuanhai Li
;
Jinhua Pei
;
Yu Chen
会议名称:
《Conference on photomask technology》
|
2017年
28.
Actinic Review of EUV masks: Challenges and achievements in delivering the perfect mask for EUV production
机译:
EUV口罩的光化评论:为EUV生产提供完美口罩的挑战和成就
作者:
Dirk Hellweg
;
Martin Dietzel
;
Renzo Capelli
;
Conrad Wolke
;
Grizelda Kersteen
;
Markus Koch
;
Ralf Gehrke
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Mask metrology;
AIMS™;
Aerial image review;
EUV;
scanner emulation;
defect review;
EUV optics;
29.
Enhanced Critical Feature Representation for Fuzzy-Matching for Lithography Hotspot Detection
机译:
光刻热点检测模糊匹配的增强型关键特征表示
作者:
Mohamed M. Elshabrawy
;
Amr G. Wassal
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Hotspot Detection;
Critical Feature Extraction;
Fuzzy Pattern Matching;
Lithography;
Design For Manufacturability;
30.
Laser-Scan Lithography and Electrolytic Etching for Fabricating Mesh structures on Stainless-Steel Pipes 100 μm in Diameter
机译:
在直径为100μm的不锈钢管上制造网状结构的激光扫描光刻和电解蚀刻
作者:
Hiroshi Takahashi
;
Toshiyuki Horiuchi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
laser-scan lithography;
ultra-fine pipe;
slit-pattern;
electrolytic etching;
stent;
micro-needle;
31.
Strategies on Quantitative Data Preparation for OPC Model Calibration to Reduce Catastrophic Failure at 7nm Node
机译:
OPC模型校准的量化数据准备策略,以减少7nm节点的灾难性故障
作者:
Hong Chen
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
OPC model;
CD SEM;
model calibration;
data collection;
data preparation;
SEM image;
image contour;
32.
Machine Learning for Mask/Wafer Hotspot Detection and Mask Synthesis
机译:
掩模/晶圆热点检测和掩模综合的机器学习
作者:
Yibo Lin
;
Xiaoqing Xu
;
Jiaojiao Ou
;
David Z. Pan
会议名称:
《Conference on photomask technology》
|
2017年
33.
DUV inspection beyond optical resolution limit for EUV mask of hp 1X nm
机译:
DUV检查超出hp 1X nm EUV掩模的光学分辨率极限
作者:
Masato Naka
;
Akihiko Ando
;
Keiko Morishita
;
Ryoji Yoshikawa
;
Takashi Kamo
;
Takashi Hirano
;
Masamitsu Itoh
会议名称:
《Conference on photomask technology》
|
2017年
34.
CNT EUV pellicle: moving towards a full-size solution
机译:
CNT EUV防护膜:迈向全尺寸解决方案
作者:
Marina Y. Timmermans
;
Ivan Pollentier
;
Jae Uk Lee
;
Johan Meersschaut
;
Olivier Richard
;
Christoph Adelmann
;
Cedric Huyghebaert
;
Emily E. Gallagher
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV pellicle;
carbon nanotubes;
free-standing film;
EUV transmission;
35.
Off-line mask-to-mask registration characterization as enabler for computational overlay
机译:
脱机掩膜到掩膜配准表征作为计算叠加的使能器
作者:
Richard van Haren
;
Steffen Steinert
;
Christian Roelofs
;
Orion Mouraille
;
Koen Dhave
;
Leon van Dijk
;
Dirk Beyer
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Registration Error;
Reticle Writing Error;
RWE;
Overlay;
Computational Overlay;
Reticle;
Mask;
LELE;
Feed-Forward;
Multi Patterning;
36.
CLMPC - Curvilinear MPC in a mask data preparation flow
机译:
CLMPC-遮罩数据准备流程中的曲线MPC
作者:
Ingo Bork
;
Murali Ready
;
Bhardwaj Durvasula
;
Nageswara Rao
;
Malavika Sharma
;
Peter Buck
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Photomask;
Curvilinear;
MPC;
model-based MRC;
proximity effect correction;
ILT;
37.
Manufacturing Challenges for Curvilinear Masks
机译:
曲线掩模的制造挑战
作者:
Chris Spence
;
Quan Zhang
;
Vincent Shu
;
Been-Der Chen
;
Stanislas Baron
;
Yasuko Saito
;
Masakazu Hamaji
;
Yasuaki Horima
;
Shuichiro Ohara
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Curvilinear mask;
lithography;
mask data preparation;
process window;
38.
EUV optical characterization of alternative membrane materials for EUV pellicles
机译:
EUV防护膜替代膜材料的EUV光学表征
作者:
Frank Scholze
;
Christian Laubis
;
Michael Krumrey
;
Marina Y. Timmermans
;
Ivan Pollentier
;
Emily E. Gallagher
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV optical material parameters;
EUV transmittance;
EUV scattering;
EUV transparent membranes;
39.
Process window discovery from mask inspection for hotspot analysis and verification
机译:
通过掩模检查发现工艺窗口,以进行热点分析和验证
作者:
James Cheng
;
William Chou
;
C. H. Twu
;
Hsin-Fu Chou
;
Jackie Cheng
;
Colbert Lu
;
Heng-Jen Lee
;
Bosheng Zhang
;
Mehdi Daneshpanah
;
Apo Sezginer
;
David Wu
;
Mike Yeh
;
Albert Chien
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Mask inspection;
process window discovery;
hotspot verification;
computational lithography;
through-focus imaging;
40.
Development Of An Inkjet-enabled Adaptive Planarization Process
机译:
启用喷墨的自适应平面化过程的开发
作者:
Niyaz Khusnatdinov
;
Douglas J. Resnick
;
Shrawan Singhal
;
Michelle M. Grigas
;
S. V. Sreenivasan
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
nanoimprint lithography;
NIL;
planarization;
LAP;
chemical mechanical polishing;
41.
Dark Field Technology for EUV and Optical Mask Blank Inspection
机译:
用于EUV和光学掩模空白检测的暗场技术
作者:
Qiuping Nie
;
David Aupperle
;
Alexander Tan
;
Bill Kalsbeck
;
Qiang Zhang
;
Gregg Inderhees
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV;
blank mask inspection;
reticle inspection;
particle inspection;
42.
Improved testpatterns and coverage for complex SrAF to optimize 5nm and below OPC and mask patterning
机译:
改进的测试图案和复杂SrAF的覆盖范围,以优化5nm及以下的OPC和掩模图案
作者:
Marco A. Guajardo
;
Hesham Abdelghany
;
Ahmed Omran
;
Yu Chen
;
Kevin Lucas
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Assist features;
SrAFs;
OPC;
OPC testpatterns;
pattern coverage;
neural networks;
support vector machine;
43.
Dual-line fabrication method in direct laser lithography to reduce the manufacturing time of diffractive optics elements
机译:
直接激光光刻中的双线制造方法,以减少衍射光学元件的制造时间
作者:
Young-Gwang Kim
;
Hyug-Gyo Rhee
;
Young-Sik Ghim
;
Ho-Soon Yang
;
Yun-Woo Lee
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Direct laser lithography;
Laser writer;
Diffractive Optical Elements;
Dual-line fabrication;
44.
Mask process correction method comparison and study: CD-SEM box versus standard correction method
机译:
掩模工艺校正方法比较和研究:CD-SEM盒与标准校正方法
作者:
Mingjing Tian
;
Shizhi Lyu
;
Eric Guo
;
Ingo Bork
;
Peter Buck
;
Yifan Li
;
Delin Mo
;
Cong Lu
;
Kushlendra Mishra
;
Anil Parchuri
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
MPC;
mask process correction;
45.
Multi-beam mask writer MBM-1000
机译:
多光束口罩书写器MBM-1000
作者:
Hiroshi Matsumoto
;
Hideo Inoue
;
Hiroshi Yamashita
;
Ryosuke. Ueba
;
Kenji. Otoshi
;
Hirokazu Yamada
;
Jin Choi
;
Byoung-Sup Ahn
;
Jong-Mun Park
;
Sang-Hee Lee
;
Shuichi Tamamushi
;
Chan-Uk Jeon
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
multi beam;
electron beam;
blanking aperture array;
throughput;
shot count;
46.
Extending the Era of Moore's Law
机译:
扩展摩尔定律时代
作者:
Tsu-Jae King Liu
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Technology scaling;
sublithographic patterning;
47.
The capability of measuring cross-sectional profile for hole patterns in nanoimprint templates using small-angle X-ray scattering
机译:
使用小角度X射线散射测量纳米压印模板中孔图案横截面轮廓的能力
作者:
Kazuki Hagihara
;
Rikiya Taniguchi
;
Eiji Yamanaka
;
Kazuhiko Omote
;
Yoshiyasu Ito
;
Kiyoshi Ogata
;
Naoya Hayashi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
nanoimprint;
template;
GISAXS;
cross-sectional profile;
hole patterns;
48.
Estimated mask contours: potential applications
机译:
估计的掩模轮廓:潜在的应用
作者:
John Gookassian
;
Carlos Rojas
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
MRC;
MEC;
Mask Inspection;
Mask Metrology;
意见反馈
回到顶部
回到首页