掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Symposium on Circuits and Systems
IEEE International Symposium on Circuits and Systems
召开年:
2015
召开地:
Lisbon(PT)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Optimal DWA design in scaled CMOS technologies for mismatch cancellation in multibit ΣΔ ADCs
机译:
缩放CMOS技术的最佳DWA设计,可消除多位ΣΔADC中的失配现象
作者:
Celin Alberto
;
Gerosa Andrea
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
2.
Path optimization for terrestrial robots using Homotopy Path Planning Method
机译:
基于同伦路径规划法的地面机器人路径优化
作者:
Diaz-Arango G.
;
Sarmiento-Reyes A.
;
Hernandez-Martinez L.
;
Vazquez-Leal H.
;
Lopez-Hernandez D.D.
;
Marin-Hernandez A.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Homotopy Continuation Methods;
Homotopy Path Planning Method;
Mobile terrestrial robots;
Optimal path;
Spherical Algorithm;
3.
Performance evaluation of hierarchical NoC topologies for stacked 3D ICs
机译:
堆叠式3D IC的分层NoC拓扑的性能评估
作者:
Matos Debora
;
Prass Max
;
Kreutz Marcio
;
Carro Luigi
;
Susin Altamiro
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
4.
Pixellated readout IC: Analysis for single photon infrared detector for fast time of arrival applications
机译:
像素化读出IC:单光子红外探测器的分析,可快速到达应用
作者:
Fahim Farah
;
Fathipouri Vala
;
Deptuch Grzegorz
;
Mohseni Hooman
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
dead-time less readout;
photon counting;
single photon detection;
time of arrival;
5.
Pole frequency and pass-band gain tunable novel fully-differential current-mode all-pass filter
机译:
极点频率和通带增益可调的新型全差分电流模式全通滤波器
作者:
Herencsar Norbert
;
Jerabek Jan
;
Koton Jaroslav
;
Vrba Kamil
;
Minaei Shahram
;
Goknar Izzet Cem
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
adjustable current amplifier;
all-pass filter;
current follower;
current-mode;
fully-differential filter;
tunable filter;
6.
Real-time vehicle color identification using symmetrical SURFs and chromatic strength
机译:
利用对称SURF和色强度实时识别车辆颜色
作者:
Li-Chih Chen
;
Jun-Wei Hsieh
;
Hui-Fen Chiang
;
Tsung-Hsien Tsai
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Symmetrical SURF;
Vehicle Color Classification;
Vehicle Detection;
7.
Real-Valued ESPRIT for two-dimensional DOA estimation of noncircular signals for acoustic vector sensor array
机译:
用于声矢量传感器阵列的非圆形信号的二维DOA估计的实值ESPRIT
作者:
Chen Han
;
Zhu Wei-Ping
;
Swamy M.N.S.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
RSESPRIT;
acoustic vector sensor array;
noncircular signals;
two-dimensional DOA estimation;
8.
Redesigning commercial floating-gate memory for analog computing applications
机译:
重新设计用于模拟计算应用的商用浮栅存储器
作者:
Bayat F.Merrikh
;
Guo X.
;
Ommani H.A.
;
Do N.
;
Likharev K.K.
;
Strukov D.B.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Analog computing;
Analog memory;
Floating-gate memory;
Vector-matrix multiplier;
9.
Reduced-reference image quality assessment based on entropy differences in DCT domain
机译:
基于DCT域中熵差的简化参考图像质量评估
作者:
Zhang Yazhong
;
Wu Jinjian
;
Shi Guangming
;
Xie Xuemei
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
discrete cosine transform (DCT);
entropy;
human visual system (HVS);
image quality assessment (IQA);
reduced-reference quality;
10.
Reference-less SAR ADC for on-chip thermal monitoring in CMOS
机译:
无基准SAR ADC,用于CMOS中的片上热监控
作者:
Rehman Sami Ur
;
Shabra Ayman
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
analog impairments;
polarity;
process spread;
ratio-metric;
reference;
11.
Scalability analysis of SIMO non-radiative resonant wireless power transfer systems based on circuit models
机译:
基于电路模型的SIMO非辐射共振无线电力传输系统的可扩展性分析
作者:
Bou Elisenda
;
Sedwick Raymond
;
Alarcon Eduard
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
12.
RNS reverse converters based on the new Chinese Remainder Theorem I
机译:
基于新的中国余数定理I的RNS反向转换器
作者:
Pettenghi Hector
;
Sousa Leonel
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
13.
Routing design for transmission capacity maximization in complex networks
机译:
复杂网络中传输容量最大化的路由设计
作者:
Yang Cuili
;
Fan Zhongyan
;
Tang Wallace K.S.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
14.
Simple switched-capacitor-boost converter with large DC gain and low voltage stress on switches
机译:
简单的开关电容-升压型转换器,具有大的直流增益和开关上的低压应力
作者:
Hu Yafei
;
Ioinovici Adrian
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
15.
Simulating delta-sigma analog-to-digital converters with the Op-Amp nonlinearity using the Newton's method
机译:
使用牛顿法模拟具有运算放大器非线性的delta-sigma模数转换器
作者:
Chia-Yu Yao
;
Yung-Hsiang Ho
;
Wei-Chun Hsia
;
Jyun-Jie Huang
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
16.
Single depth intra coding mode in 3D-HEVC
机译:
3D-HEVC中的单深度帧内编码模式
作者:
Chen Yi-Wen
;
Lin Jian-Liang
;
Huang Yu-Wen
;
Lei Shawmin
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
3D video coding;
3D-HEVC;
HEVC;
intra prediction;
single depth intra mode;
17.
Some results on design of second-order sliding mode controller for nonlinear systems
机译:
非线性系统二阶滑模控制器设计的一些结果
作者:
Ding Shihong
;
Zheng Wei Xing
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
18.
Spurs-free single-bit-output frequency synthesizers for fully-digital RF transmitters
机译:
适用于全数字RF发射机的无杂散单位输出频率合成器
作者:
Sotiriadis Paul P.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Direct digital synthesis;
Dithering;
frequency spurs;
noise shaping;
quantization;
spectrum;
19.
Stability analysis of multiple equilibria for recurrent neural networks with discontinuous Mexican-hat-type activation function
机译:
具有不连续墨西哥帽型激活函数的递归神经网络的多个平衡稳定性分析
作者:
Xiaobing Nie
;
Wei Xing Zheng
;
Jinhu Lu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
20.
Statistical analysis and design of 6T SRAM cell for physical unclonable function with dual application modes
机译:
具有双重应用模式的物理不可克隆功能的6T SRAM单元的统计分析和设计
作者:
Le Zhang
;
Chip-Hong Chang
;
Zhi Hui Kong
;
Chao Qun Liu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
21.
STT-RAM write energy consumption reduction by differential write termination method
机译:
通过差分写终止方法降低STT-RAM写能耗
作者:
Farkhani Hooman
;
Peiravi Ali
;
Madsen Jens K.
;
Moradi Farshad
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
STT-RAM;
bit error rate;
high speed;
low power;
write termination;
22.
Test set customization for improved fault diagnosis without sacrificing coverage
机译:
测试集定制,可在不牺牲覆盖率的情况下改善故障诊断
作者:
Nuthakki Srinivasa Shashank
;
Chattopadhyay Santanu
;
Chakraborty Mrityunjoy
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Fault diagnosis;
X filling;
genetic algorithm;
test set;
23.
The applications of memristor devices in next-generation cortical processor designs
机译:
忆阻器器件在下一代皮质处理器设计中的应用
作者:
Hai Li
;
Beiye Liu
;
Xiaoxiao Liu
;
Mengjie Mao
;
Yiran Chen
;
Qing Wu
;
Qinru Qiu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
24.
Time-interleaved integrating quantizer incorporating channel coupling for speed and linearity enhancement
机译:
包含通道耦合的时间交错积分量化器,可提高速度和线性度
作者:
Hu Yue
;
Leuenberger Spencer
;
Xu Yang
;
Moon Un-Ku
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Analog-to-digital converter (ADC);
delta modulation;
time domain data converter;
25.
Tunable multiband RF CMOS active filter arrays
机译:
可调谐多频带RF CMOS有源滤波器阵列
作者:
Udayanga Nilan
;
Madanayake Arjuna
;
Wijenayake Chamith
;
Ahmadi Peyman
;
Belostotski Leonid
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
26.
Ultra-compact and robust FPGA-based PUF identification generator
机译:
基于FPGA的超紧凑且强大的PUF识别生成器
作者:
Gu Chongyan
;
ONeill Maire
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
FPGA;
PUF identification generator;
physical unclonable functions;
reliability;
ultracompact;
uniqueness;
27.
Weighted pole and zero sensitivity minimization for state-space digital filters
机译:
状态空间数字滤波器的加权极点和零灵敏度最小化
作者:
Hinamoto Takao
;
Doi Akimitsu
;
Lu Wu-Sheng
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
28.
Winner-take-all neural network with digital frequency-locked loop
机译:
带数字锁频环的赢家通吃神经网络
作者:
Hikawa Hiroomi
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
VHDL;
frequency modulated signal;
frequency-locked loop;
winner takes all neural network;
29.
0.5-V sub-ns open-BL SRAM array with mid-point-sensing multi-power 5T cell
机译:
具有中点感应多功率5T单元的0.5V亚纳秒Open-BL SRAM阵列
作者:
Itoh Kiyoo
;
Shaik Khaja Ahmad
;
Amara Amara
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
0.5-V 5T-cell SRAM array;
boosted word-line;
mid-point sensing;
multi-divided open bitlines;
30.
0.7 V supply self-biased nanoWatt MOS-only threshold voltage monitor
机译:
0.7 V电源自偏置纳瓦MOS阈值电压监控器
作者:
Mattia Oscar E.
;
Klimach Hamilton
;
Bampi Sergio
;
Schneider Marcio
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
31.
1.1-V 200 MS/s 12-bit digitally calibrated pipeline ADC in 40 nm CMOS
机译:
采用40 nm CMOS的1.1V 200 MS / s 12位数字校准流水线ADC
作者:
Adel Hussein
;
Sabut Marc
;
Louerat Marie-Minerve
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
32.
17-MS/s 9-bit cyclic ADC with gain-assisted MDAC and attenuation-based calibration
机译:
具有增益辅助MDAC和基于衰减的校准的17-MS / s 9位循环ADC
作者:
Okada Yuki
;
Oshima Takashi
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
MDAC;
cyclic ADC;
digital calibration;
33.
180.5Mbps-8Gbps DLL-based clock and data recovery circuit with low jitter performance
机译:
具有低抖动性能的基于180.5Mbps-8Gbps DLL的时钟和数据恢复电路
作者:
Liu Yuequan
;
Wang Yuan
;
Jia Song
;
Zhang Xing
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Clock and data recovery (CDR);
delay-locked loop (DLL);
low jitter;
time-to-digital converter (TDC);
wide-range;
34.
20-Gb/s 3.6-V
PP
-swing source-series-terminated driver with 2-Tap FFE in 65-nm CMOS
机译:
具有2抽头FFE的20 Gb / s 3.6-V
PP inf>摆幅源系列端接驱动器,位于65nm CMOS中
作者:
Park Jun-Eun
;
Kim Yoonsoo
;
Kim Sungwoo
;
Kim Gyungock
;
Jeong Deog-Kyoon
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
35.
2T2M memristor-based memory cell for higher stability RRAM modules
机译:
基于2T2M忆阻器的存储单元,用于更高稳定性的RRAM模块
作者:
Shaarawy Noha
;
Ghoneima Maged
;
Radwan Ahmed G.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
36.
3-D floorplanning algorithm to minimize thermal interactions
机译:
3-D平面规划算法可最大程度地减少热相互作用
作者:
Vaisband Boris
;
Friedman Eby G.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
37.
300mV 50kHz 75.9dB SNDR CT ΔΣ Modulator with Inverter-based Feedforward OTAs
机译:
具有基于逆变器前馈OTA的300mV 50kHz 75.9dB SNDR CTΔΣ调制器
作者:
Lv Lishan
;
Li Qiang
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
38.
3D vertical RRAM architecture and operation algorithms with effective IR-drop suppressing and anti-disturbance
机译:
具有有效的IR压降抑制和抗干扰功能的3D垂直RRAM架构和运算算法
作者:
Lin Yinyin
;
Yuan Rui
;
Xue Xiaoyong
;
Chen B.A.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
3D RRAM;
IR drop;
disturbance;
39.
An analog adaptive notch filter based on the noise cancellation principle
机译:
基于噪声消除原理的模拟自适应陷波滤波器
作者:
RezazadehReyhani Ahmad
;
Jayanthmurthy Chetan
;
Gillman Bill
;
Walling Jeffrey
;
Belz John
;
Farhang-Boroujeny Behrouz
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Analog Adaptive Filter;
LMS;
Notch Filter;
40.
Comparison of low-power biopotential processors for on-the-fly spike detection
机译:
低功率生物电势处理器动态峰值检测的比较
作者:
Gagnon-Turcotte G.
;
Camaro C.-O Dufresne
;
Gosselin B.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Spike detection;
brain-computer interfaces;
energy-efficent biosignal processing;
neural recording;
41.
Accelerating AdaBoost algorithm using GPU for multi-object recognition
机译:
使用GPU加速AdaBoost算法以进行多对象识别
作者:
Pin Yi Tsai
;
Yarsun Hsu
;
Ching-Te Chiu
;
Tsai-Te Chu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Compute Unified Device Architecture (CUDA);
adaptive boosting (AdaBoost);
advanced driver assistance system (ADAS);
graphics processing unit (GPU);
object recognition;
42.
Accelerating compressive sensing reconstruction OMP algorithm with CPU, GPU, FPGA and domain specific many-core
机译:
利用CPU,GPU,FPGA和特定领域的多核加速压缩感测重建OMP算法
作者:
Kulkarni Amey
;
Mohsenin Tinoosh
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
43.
Adaptive ECG interval extraction
机译:
自适应心电图间隔提取
作者:
Tekeste Temesghen
;
Bayasi Nourhan
;
Saleh Hani
;
Khandoker Ahsan
;
Mohammad Baker
;
Al-Qutayri Mahmoud
;
Ismail Mohammed
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Curve length Transform;
Discrete Wavelet Transform;
ECG interval;
P wave;
QRS complex;
T wave;
44.
AES architectures for minimum-energy operation and silicon demonstration in 65nm with lowest energy per encryption
机译:
AES架构,用于最低能耗的操作和65nm的芯片演示,每次加密的能耗最低
作者:
Zhao Wenfeng
;
Ha Yajun
;
Alioto Massimo
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Advanced Encryption Standard;
energy-efficient architecture;
sub-ear-threshold operation;
ultra-low energy;
45.
An 8-bit column-shared SAR ADC for CMOS image sensor applications
机译:
用于CMOS图像传感器应用的8位列共享SAR ADC
作者:
Lin Jin-Yi
;
Chang Kwuang-Han
;
Kao Chen-Che
;
Lo Shih-Chin
;
Chen Yan-Jiun
;
Lee Pei-Chen
;
Chen Chi-Hui
;
Yin Chin
;
Hsieh Chih-Cheng
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
CMOS image sensors (CIS);
Low power;
successive approximation analog-to-digital converter (SAR ADC);
46.
An 8-channel power-efficient time-constant-enhanced analog front-end amplifier for neural signal acquisition
机译:
用于神经信号采集的8通道省电型时间常数增强型模拟前端放大器
作者:
Chung Jung-Chen
;
Chen Wei-Ming
;
Wu Chung-Yu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
analog front-end;
low noise;
low power;
time constant enhance;
47.
An 8-stage time delay integration CMOS image sensor with on-chip polarization pixels
机译:
具有片上偏振像素的8级时延集成CMOS图像传感器
作者:
Yu Hang
;
Varghese Vigil
;
Qian Xinyuan
;
Guo Menghan
;
Chen Shoushun
;
Low Kay Soon
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
48.
An affine motion compensation framework for high efficiency video coding
机译:
用于高效视频编码的仿射运动补偿框架
作者:
Li Li
;
Li Houqiang
;
Lv Zhuoyi
;
Yang Haitao
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
HEVC;
affine motion compensation;
affine motion model;
gradient based affine motion estimation;
49.
An all-digital power management unit with 90 power efficiency and ns-order voltage transition time for DVS operation in low power sensing SoC applications
机译:
全数字电源管理单元,具有90%的电源效率和ns级电压转换时间,适用于低功耗传感SoC应用中的DVS操作
作者:
Wu Chung-Shiang
;
Lin Kai-Chun
;
Kuo Yi-Ping
;
Chen Po-Hung
;
Chu Yuan-Hua
;
Hwang Wei
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
50.
An all-digital PWM generator with 62.5ps resolution in 28nm CMOS technology
机译:
采用28nm CMOS技术的62.5ps分辨率全数字PWM发生器
作者:
Hoppner Sebastian
;
Haenzsche Stefan
;
Scholze Stefan
;
Schuffny Rene
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
ADPLL;
PWM generator DC-DC converter;
power management;
51.
An analytical model of multi-sine AC-DC voltage multiplier
机译:
多正弦AC-DC电压倍增器的解析模型
作者:
Tanzawa Toru
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
AC-DC;
Charge pump;
Modeling;
Multiplier;
RF-DC;
Rectifier;
52.
An approach for physical topology exploration in wired bus networks
机译:
有线总线网络中物理拓扑探索的方法
作者:
Zeng Yidi
;
Schrom Harald
;
Ernst Rolf
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
53.
An automatic tuning technique for background frequency calibration in gyroscope interfaces based on high order bandpass Delta-Sigma modulators
机译:
基于高阶带通Delta-Sigma调制器的陀螺仪接口背景频率校准的自动调谐技术
作者:
Afifi M.
;
Maurer M.
;
Hehn T.
;
Taschwer A.
;
Manoli Y.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
54.
An efficient and high quality rasterization algorithm and architecture in 3D graphics systems
机译:
3D图形系统中的高效,高质量栅格化算法和体系结构
作者:
Yeong-Kang Lai
;
Yu-Chieh Chung
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
3D Graphics;
Anti-Aliasing;
Tile Traversal;
55.
An efficient HEVC to H.264/AVC transcoding system
机译:
高效的HEVC至H.264 / AVC转码系统
作者:
Tang Minhao
;
Wen Jiangtao
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
H.264/AVC;
HEVC;
transcoding;
56.
An efficient max-log MAP algorithm for VLSI implementation of turbo decoders
机译:
用于Turbo解码器VLSI实现的高效max-log MAP算法
作者:
Ardakani Arash
;
Shabany Mahdi
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
57.
An efficient method for integer factorization
机译:
整数分解的有效方法
作者:
Yu Haibo
;
Bai Guoqiang
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
general number field sieve (GNFS);
mid-size integer;
parallel structure;
prime factor;
sieving part;
58.
An efficient processor for joint barrel distortion correction and color demosaicking
机译:
一种用于联合镜筒失真校正和色彩去马赛克的高效处理器
作者:
Jeong Hui-Sung
;
Kim Tae-Hwan
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
59.
An embedded probabilistic extraction unit for on-chip jitter measurements
机译:
用于片上抖动测量的嵌入式概率提取单元
作者:
Bielby Steven
;
Roberts Gordon W.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
60.
An energy-efficient heterogeneous dual-core processor for Internet of Things
机译:
用于物联网的高能效异构双核处理器
作者:
Zhibo Wang
;
Yongpan Liu
;
Yinan Sun
;
Yang Li
;
Daming Zhang
;
Huazhong Yang
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
61.
An energy-efficient level shifter for low-power applications
机译:
用于低功率应用的高能效电平转换器
作者:
Hosseini S.Rasool
;
Saberi Mehdi
;
Lotfi Reza
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Level shifter;
dual-supply circuits;
low power digital integrated circuits;
sub-threshold operation;
62.
An event-driven massively parallel fine-grained processor array
机译:
事件驱动的大规模并行细粒度处理器阵列
作者:
Walsh Declan
;
Dudek Piotr
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
MIMD;
event-driven;
fine-grained;
many-core;
parallel processing;
processor array;
scalable;
63.
An FPGA platform for generation of stimulus triggering based on intracortical spike activity in brain-machine-body interface (BMBI) applications
机译:
在脑机体接口(BMBI)应用中基于皮质内尖峰活动生成刺激触发的FPGA平台
作者:
Shahdoost Shahab
;
Mohseni Pedram
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
64.
An FPGA processor for real-time, fixed-point refinement of CDVS keypoints
机译:
一种FPGA处理器,用于实时,定点优化CDVS关键点
作者:
Lopez Giorgio
;
Napoli Ettore
;
Meglio Domenico
;
Strollo Antonio G.M.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
CDVS;
FPGA;
MPEG-7;
Scale-Space theory;
Visual Search;
real-time video processing;
65.
An implantable high-voltage cortical stimulator for post-stroke rehabilitation enhancement with high-current driving capacity
机译:
具有高电流驱动能力的可改善卒中后康复能力的可植入高压皮质刺激器
作者:
Kilic Mustafa
;
Schmid Alexandre
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
66.
An inertial sensor based balance and gait analysis system
机译:
基于惯性传感器的平衡和步态分析系统
作者:
Wei-Hsin Wang
;
Pau-Choo Chung
;
Guo-Liang Yang
;
Chien-Wen Lin
;
Yu-Liang Hsu
;
Ming-Chyi Pai
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Alzheimer's disease;
Time-Up and Go Test;
inertial sensor;
67.
An injection-locked oscillator-multiplier circuitry suitable for MB-OFDM clock generation
机译:
适用于MB-OFDM时钟生成的注入锁定振荡器-乘法器电路
作者:
Koivisto Tero
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
68.
An integrated circuit solution of thermal noise thermometer with cascaded pre-amplifier and 6-bit resolution analog-to-digital converter
机译:
具有级联前置放大器和6位分辨率模数转换器的热噪声温度计的集成电路解决方案
作者:
Zhang Xu
;
Chen Degang
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
industrial temperature range;
multi-stage preamplifier;
thermal noise thermometer;
69.
An integrated CMOS current driver using nonlinear feedback for bioimpedance applications
机译:
使用非线性反馈的集成CMOS电流驱动器,用于生物阻抗应用
作者:
Neshatvar Nazanin
;
Langlois Peter J.
;
Jiang Dai
;
Demosthenous Andreas
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
70.
An integrated method for implementing online fault detection in NoC-based MPSoCs
机译:
在基于NoC的MPSoC中实现在线故障检测的集成方法
作者:
Fochi Vinicius
;
Wachter Eduardo
;
Erichsen Augusto
;
Amory Alexandre M.
;
Moraes Fernando G.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
fault-tolerant NoC-based MPSoCs;
online fault detection;
71.
An integrated time register and arithmetic circuit with combined operation for time-domain signal processing
机译:
集成了时间寄存器和算术电路的组合操作,用于时域信号处理
作者:
Lee Daewoong
;
Lee Dongil
;
Lee Taeho
;
Kim Yong-Hun
;
Kim Lee-Sup
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
72.
An output feedback-based start-up technique with automatic disabling for battery-less energy harvesters
机译:
基于输出反馈的启动技术,可自动禁用无电池能量收集器
作者:
Das Abhik
;
Gao Yuan
;
Kim Tony Tae-Hyoung
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
disable;
efficiency;
energy harvesting;
start-up;
steady-state;
73.
An overlap-contention free true-single-phase clock dual-edge-triggered flip-flop
机译:
无重叠竞争的真单相时钟双沿触发触发器
作者:
Bonetti Andrea
;
Teman Adam
;
Burg Andreas
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
74.
An overview of IEEE standardization efforts for cognitive radio networks
机译:
认知无线电网络的IEEE标准化工作概述
作者:
Khattab Ahmed
;
Bayoumi Magdy A.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
IEEE 1900;
IEEE 802.11af;
IEEE 802.22;
TV white spaces;
cognitive radio networks;
75.
An ultra-low power low-IF GFSK demodulator for Bluetooth-LE applications
机译:
适用于Bluetooth-LE应用的超低功耗低中频GFSK解调器
作者:
Pereira M.Silva
;
Vaz J.Caldinhas
;
Leme C.Azeredo
;
de Sousa Jose T.
;
Freire J.Costa
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Bluetooth low energy (BLE);
Gaussian frequency-shift keying (GFSK) demodulator;
low-IF;
ultra-low power;
76.
An unsupervised dictionary learning algorithm for neural recordings
机译:
用于神经记录的无监督词典学习算法
作者:
Xiong Tao
;
Zhang Jie
;
Suo Yuanming
;
Tran Dung N.
;
Etienne-Cummings Ralph
;
Chin Sang
;
Tran Tran D.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
77.
Analog signal-interference narrow-band bandpass filters with hybrid transmission-line/SAW-resonator transversal filtering sections
机译:
具有混合传输线/ SAW谐振器横向滤波部分的模拟信号干扰窄带带通滤波器
作者:
Psychogiou Dimitra
;
Peroulis Dimitrios
;
Loeches-Sanchez Raul
;
Gomez-Garcia Roberto
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Analog filter;
bandpass filter;
high-Q resonator;
microstrip filter;
surface-acoustic-wave (SAW) resonator;
transmission zero (TZ);
transversal filtering section (TFS);
78.
Analysis and characterization of data energy tradeoffs: For VLSI architectural agility in C-RAN platforms
机译:
数据能量折衷的分析和表征:用于C-RAN平台中的VLSI体系结构敏捷性
作者:
Nsame Pascal
;
Bois Guy
;
Savaria Yvon
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
C-RAN;
FFT;
HEVC;
LTE;
VLSI;
79.
Analysis and optimization for dynamic read stability in 28nm SRAM bitcells
机译:
28nm SRAM位单元中动态读取稳定性的分析和优化
作者:
Elthakeb Ahmed T.
;
Haine Thomas
;
Flandre Denis
;
Ismail Yehea
;
Elhamid Hamdy Abd
;
Bol David
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
6T SRAM;
Cell sizing;
Dynamic behavior;
FD SOI;
Read Noise Margin;
parasitic capacitances;
80.
Analysis of even-order terms in memoryless and quasi-memoryless polynomial baseband models
机译:
无记忆和准无记忆多项式基带模型中的偶数项分析
作者:
Enzinger Harald
;
Freiberger Karl
;
Vogel Christian
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
81.
Bitstream switching rate based calibration of delta-sigma modulators
机译:
基于比特流切换速率的delta-sigma调制器校准
作者:
Hu Jingjing
;
Hegt Hans
;
van Roermund Arthur H.M.
;
Ouzounov Sotir F.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
analog-to-digital converters (ADC);
calibration;
delta-sigma modulator (DSM);
82.
Design space exploration using hierarchical composition of performance models
机译:
使用性能模型的层次结构进行设计空间探索
作者:
Velasco-Jimenez M.
;
Castro-Lopez R.
;
Roca E.
;
Fernandez F.V.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Hierarchical design methodologies;
Pareto-optimal fronts;
evolutionary algorithms;
83.
Designing silicon carbide NMOS integrated circuits for wide temperature operation
机译:
设计用于宽温度工作的碳化硅NMOS集成电路
作者:
Chen Cheng-Po
;
Ghandi Reza
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
MOSFET;
high temperature electronics;
silicon carbide;
84.
Analysis of imperfections in N-phase high-Q band-pass filters
机译:
N相高Q带通滤波器的缺陷分析
作者:
Nikoofard Ali
;
Kananian Siavash
;
Behmanesh Baktash
;
Atarodi Seyed Mojtaba
;
Fotowat-Ahmady Ali
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
85.
Analysis of parallel processor architectures for the solution of the Black-Scholes PDE
机译:
Black-Scholes PDE解决方案的并行处理器体系结构分析
作者:
Laszlo Endre
;
Nagy Zoltan
;
Giles Michael B.
;
Reguly Istvan
;
Appleyard Jeremy
;
Szolgay Peter
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
86.
Analysis of radiation effect on the threshold voltage of flash memory device
机译:
辐射对闪存器件阈值电压的影响分析
作者:
Hossain Nahid M.
;
Koppu Jitendra
;
Chowdhury Masud H
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
87.
Anti-aliasing filter improvement in continuous-time feedback sigma-delta modulators
机译:
连续时间反馈sigma-delta调制器中抗混叠滤波器的改进
作者:
Ritter Rudolf
;
Lorenz Matthias
;
Ortmanns Maurits
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
88.
Approximation of multiple constant multiplications using minimum look-up tables on FPGA
机译:
使用FPGA上的最小查找表逼近多个常数乘法
作者:
Aksoy Levent
;
Flores Paulo
;
Monteiro Jose
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
89.
ARCHER: Communication-based predictive architecture selection for application specific multiprocessor Systems-on-Chip
机译:
ARCHER:针对特定应用的多处理器片上系统的基于通信的预测体系结构选择
作者:
Ambrose Jude Angelo
;
Higgins Nick
;
Chakravarthy Mrinal
;
Garg Shivam
;
Tuo Li
;
Murphy Daniel
;
Ignjatovic Aleksandar
;
Parameswaran Sri
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
90.
Area efficient configurable physical unclonable functions for FPGAs identification
机译:
用于FPGA识别的区域有效的可配置物理不可克隆功能
作者:
Halak Basel
;
Hu Yizhong
;
Mispan Mohd Syafiq
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Configurable Ring Oscillator;
FPGAs;
Physical Unclonable Function;
91.
Area-time efficient realization of multiple constant multiplication
机译:
区域时间有效实现多重常数乘法
作者:
Xin Lou
;
Ya Jun Yu
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
92.
Assessment of Robustness of Power Systems from the Perspective of Complex Networks
机译:
复杂网络视角下的电力系统鲁棒性评估
作者:
Zhang Xi
;
Tse Chi K.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
93.
Asynchronous consensus of second-order multi-agent systems with aperiodic sampled-data
机译:
具有非周期性采样数据的二阶多智能体系统的异步一致性
作者:
Jingyuan Zhan
;
Xiang Li
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
94.
Automated selection of check variables for area-efficient soft-error tolerant datapath synthesis
机译:
自动选择检查变量以实现面积有效的软错误容忍数据路径综合
作者:
Oh Junghoon
;
Kaneko Mineo
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
95.
Automatic design of high-order SC filter circuits
机译:
自动设计高阶SC滤波电路
作者:
Serra Hugo
;
Santos-Tavares Rui
;
Goes Joao
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
96.
Automatic generation of inexact digital circuits by gate-level pruning
机译:
通过门级修剪自动生成不精确的数字电路
作者:
Schlachter Jeremy
;
Camus Vincent
;
Enz Christian
;
Palem Krishna V.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
97.
Bandwidth bounds for matching coupled loads
机译:
匹配耦合负载的带宽范围
作者:
Ding Nie
;
Hochwald Bertrand
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
98.
Bifurcation study of three-phase inverter system with interacting loads
机译:
相互作用负载的三相逆变器系统的分叉研究
作者:
Li Yining
;
Li Zhen
;
Wong Siu-Chung
;
Chen Xi
;
Chen Zhen
;
Liu Xiangdong
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Three-phase voltage-source inverter;
bifurcation;
interacting loads;
stability boundary;
99.
Bluetooth low energy receiver system design
机译:
蓝牙低功耗接收器系统设计
作者:
Pipino Alessandra
;
Liscidini Antonio
;
Wan Karen
;
Baschirotto Andrea
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Bluetooth Low Energy;
IIP2;
IIP3;
NF;
RF front-end;
RX architecture;
analog base-band;
link budget;
100.
Building hamiltonian networks using the cycles laplacian of the underlying graph
机译:
使用基础图的周期拉普拉斯构建哈密顿网络
作者:
Onete Cristian E.
;
Onete Maria Cristina C.
会议名称:
《IEEE International Symposium on Circuits and Systems》
|
2015年
关键词:
Graph cycles;
Hamiltonian graph;
Hamiltonicity;
Laplacian;
意见反馈
回到顶部
回到首页