掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Conference on VLSI Design;International Conference on Embedded Systems Design
International Conference on VLSI Design;International Conference on Embedded Systems Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Tutorial T1: Ambient Intelligence through Internets-of-Things -- An Application Development Approach
机译:
教程T1:通过物联网实现环境智能-一种应用程序开发方法
作者:
Gupta Anil Kumar
;
Singh Anand
;
Yadav Vineeta
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
2.
Tutorial T2A: Scheduling Issues in Embedded Real-Time Systems
机译:
教程T2A:嵌入式实时系统中的调度问题
作者:
Ramanathan Parmesh
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
3.
Tutorial T6A: Pedagogy of Negative Feedback Circuits
机译:
教程T6A:负反馈电路的教学法
作者:
Krishnapura Nagendra
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
4.
Tutorial T7B: Network on Chips -- The Journey Overview
机译:
教程T7B:芯片网络-旅程概述
作者:
Mekie J.
;
Ved S.N.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
5.
Tutorial T8A: Realization of RF Front-End for a Cognitive Radio
机译:
教程T8A:认知无线电的RF前端的实现
作者:
Kishore B.Ravi
;
Rao B.Kameswara
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
6.
Tutorial T3A: Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices
机译:
教程T3A:测试低功率集成电路:挑战,解决方案和行业实践
作者:
Ravi Srivaths
;
Chickermane Vivek
;
Chakravadhanula Krishna
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
7.
Tutorial T7A: Techniques for Network-on-Chip (NoC) Design and Test
机译:
教程T7A:片上网络(NoC)设计和测试技术
作者:
Chattopadhyay Santanu
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
8.
Tutorial T2B: Cost / Application / Time to Market Driven SoC Design and Manufacturing Strategy
机译:
教程T2B:成本/应用/上市时间驱动的SoC设计和制造策略
作者:
De Barun Kumar
;
Chattopadhyay Anupam
;
Banerjee Ansuman
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
9.
Tutorial T3B: Engineering Change Order (ECO) Phase Challenges and Methodologies for High Performance Design
机译:
教程T3B:高性能设计的工程变更单(ECO)阶段的挑战和方法
作者:
Rangarajan Sridhar
;
Chakrabarti Pinaki
;
Sahais Sourav
;
Datta Ayan more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
10.
Tutorial T4: All You Need to Know about Hardware Trojans and Counterfeit ICs
机译:
教程T4:您需要了解的有关硬件木马和伪造IC的所有信息
作者:
Tehranipoor Mohammad
;
Forte Domenic
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
11.
Tutorial T5: Microfluidic Biochips: Connecting VLSI and Embedded Systems to the Life Sciences
机译:
教程T5:微流体生物芯片:将VLSI和嵌入式系统连接到生命科学
作者:
Chakrabarty Krishnendu
;
Ho Tsung-Yi
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
12.
Tutorial T6B: Embedded Memory Design for Future Technologies: Challenges and Solutions
机译:
教程T6B:面向未来技术的嵌入式存储器设计:挑战与解决方案
作者:
Ghosh Swaroop
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
13.
A Decimal/Binary Multi-operand Adder Using a Fast Binary to Decimal Converter
机译:
使用快速二进制到十进制转换器的十进制/二进制多操作数加法器
作者:
Varma Ch.Santosh
;
Ahmed Syed Ershad
;
Srinivas M.B.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Binary to BCD Converter;
Decimal Arithmetic;
Multi-operand Adder;
Multiplier;
14.
A Novel Low Power Error Detection Logic for Inexact Leading Zero Anticipator in Floating Point Units
机译:
浮点单元中不精确的前导零预期值的新型低功耗错误检测逻辑
作者:
Reddy B.Naveen Kumar
;
Sekhar M.Chandra
;
Veeramachaneni Sreehari
;
Srinivas M.B.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Floating Point Addition;
Leading Zero Anticipator;
Normalization;
15.
A Low Power CMOS Imager Based on Distributed Compressed Sensing
机译:
基于分布式压缩传感的低功耗CMOS成像器
作者:
Kaliannan Bhuvanan
;
Pasupureddi Vijaya Sankara Rao
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
CMOS imager;
capacitor charge redistribution;
distributed compressed sensing;
16.
A Power Efficient Fully Differential Back Terminated Current-Mode HDMI Source
机译:
高效的全差分反向端接电流模式HDMI源
作者:
Gopikrishnan R.
;
Pasupureddi Vijaya Sankara Rao
;
Regeti Govindarajulu
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Current Mode;
HDMI;
Low Power;
Serial Links;
Transmitter;
17.
A Novel Architecture for FPGA Implementation of Otsu's Global Automatic Image Thresholding Algorithm
机译:
Otsu全局自动图像阈值算法的FPGA实现的新型架构
作者:
Pandey J.G.
;
Karmakar A.
;
Shekhar C.
;
Gurunarayanan S.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
FPGA;
Otsu's global image thresholding;
VLSI architecture for image and video processing;
electronic system level (ESL) design;
fixed-point architecture;
18.
A Hardware Intensive Approach for Efficient Implementation of Numerical Integration for FPGA Platforms
机译:
有效实现FPGA平台数字积分的硬件密集型方法
作者:
Khurshid Burhan
;
Mir Roohie Naz
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Data broadcast structure;
FIR structure;
Fine grain pipelining;
Trapezoidal rule;
19.
A Power Efficient Video Encoder Using Reconfigurable Approximate Arithmetic Units
机译:
使用可重构近似算术单元的高效视频编码器
作者:
Raha Arnab
;
Jayakumar Hrishikesh
;
Raghunathan Vijay
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Approximate Computing;
Embedded Systems;
Reconfigurable Architecture;
Video Encoding;
20.
A Test Partitioning Technique for Scheduling Tests for Thermally Constrained 3D Integrated Circuits
机译:
用于热约束3D集成电路的计划测试的测试分区技术
作者:
Millican Spencer K.
;
Saluja Kewal K.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
3D-IC;
SoC test;
Test application time reduction;
Test scheduling;
temperature test;
test partitioning;
21.
A Cube-Aware Compaction Method for Scan ATPG
机译:
扫描ATPG的多维数据集感知压缩方法
作者:
Jha Sharada
;
Chandrasekar Kameshwar
;
Wu Weixin
;
Sharma Ramesh more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
22.
A New Sensitivity-Driven Process Variation Aware Self-Repairing Low-Power SRAM Design
机译:
一种新的灵敏度驱动工艺变化感知自修复低功耗SRAM设计
作者:
Yadav Nandakishor
;
Dutt Sunil
;
Sharma G.K.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
23.
A 500 mV to 1.0 V 128 Kb SRAM in Sub 20 nm Bulk-FinFET Using Auto-adjustable Write Assist
机译:
在20 nm以下的Bulk-FinFET中使用自动调节的写辅助功能实现500 mV至1.0 V 128 Kb SRAM
作者:
Dubey Prashant
;
Ahuja Gaurav
;
Verma Vaibhav
;
Yadav Sanjay Kumar more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Fin-FETs;
Power;
SRAM;
adjustable;
dynamic-voltage frequency-scaling;
low power;
optimization;
read-assist;
reconfigurable;
variability;
write-assist;
24.
Design and Implementation of Safety Logic with Fine Impulse Test System for a Nuclear Reactor Shutdown System
机译:
核反应堆关闭系统精细脉冲测试系统安全逻辑的设计与实现
作者:
Misra Manoj Kumar
;
Sridhar N.
;
Murthy D.Thirugnana
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
FPGA;
Functional amp;
Formal Verification of Digital Designs;
Reactor Shutdown System;
SLFIT;
Safety Critical System;
VHDL;
25.
Performance and Power Benefits of Sharing Execution Units between a High Performance Core and a Low Power Core
机译:
在高性能内核和低功耗内核之间共享执行单元的性能和功耗优势
作者:
Rodrigues Rance
;
Koren Israel
;
Kundu Sandip
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Asymmetric Multicore Processor (AMP);
Symmetric Multicore Processor (SMP);
performance;
performance/ Watt;
resource sharing;
26.
Tiny NoC: A 3D Mesh Topology with Router Channel Optimization for Area and Latency Minimization
机译:
Tiny NoC:具有路由器通道优化的3D网格拓扑,可将面积和延迟最小化
作者:
Marcon Cesar
;
Fernandes Ramon
;
Cataldo Rodrigo
;
Grando Fernando more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
3D mesh NoC;
area;
latency;
optimization;
27.
QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers
机译:
快速调用:一种低开销的硬件/软件方法,可在瞬态供电的计算机中实现跨电源循环的计算
作者:
Jayakumar Hrishikesh
;
Raha Arnab
;
Raghunathan Vijay
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Embedded Systems;
Energy Harvesting;
FRAM;
Low power design;
Non-volatile memory;
Transiently Powered Computers;
28.
Analysis of Nanoscale Strained-Si/SiGe MOSFETs including Source/Drain Series Resistance through a Multi-iterative Technique
机译:
通过多重迭代技术分析包括源极/漏极串联电阻的纳米级应变Si / SiGe MOSFET
作者:
Kumari Amrita
;
Kumar Subindu
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
MOSFET;
drain current;
series resistance;
strain;
threshold voltage;
29.
A 1 V, Sub-mW CMOS LNA for Low-Power 1 GHz Wide-Band Wireless Applications
机译:
适用于低功耗1 GHz宽带无线应用的1 V,低于mW CMOS LNA
作者:
Salimath Arunkumar
;
Karamcheti Pradeep
;
Halder Achintya
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Low noise amplifier (LNA);
current re-use;
gm boosting;
impedance matching;
low power;
noise figure (NF);
ultra-wide-band (UWB);
30.
Correctness Checking of Bio-chemical Protocol Realizations on a Digital Microfluidic Biochip
机译:
数字微流控生物芯片上生化协议实现的正确性检查
作者:
Bhattacharjee Sukanta
;
Banerjee Ansuman
;
Chakrabarty Krishnendu
;
Bhattacharya Bhargab B.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
digital microfluidic biochip;
polymerase chain reaction;
verification;
31.
A Novel Wire Planning Technique for Optimum Pin Utilization in Digital Microfluidic Biochips
机译:
数字微流控生物芯片中最佳引脚利用的新型线规划技术
作者:
Roy Pranab
;
Bhattacharya Samadrita
;
Bhattacharyay Rupam
;
Imam Firdousi Jamil more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Digital microfluidics;
algorithms;
droplet routing;
electrodes;
multiphasing;
optimization;
pin constraints;
wire planning;
32.
A Time-Based Low Voltage Body Temperature Monitoring Unit
机译:
基于时间的低压人体温度监测装置
作者:
Jeyashankar Karthik Ramkumar
;
Mahalley Makrand
;
Amrutur Bharadwaj
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
FPGA;
sub-sampling;
subthreshold design;
temperature measurement;
time to digital converter;
33.
A Coverage Guided Mining Approach for Automatic Generation of Succinct Assertions
机译:
自动生成简洁断言的覆盖率导向挖掘方法
作者:
Sheridan David
;
Liu Lingyi
;
Kim Hyungsul
;
Vasudevan Shobha
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
34.
Effective Liveness Verification Using a Transformation-Based Framework
机译:
使用基于转换的框架进行有效的活动验证
作者:
Nalla Pradeep Kumar
;
Gajavelly Raj Kumar
;
Mony Hari
;
Baumgartner Jason more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Abstraction;
Dynamic verification;
Fairness;
Formal verification;
Liveness;
Simulation;
Transformation based verification;
35.
FinFET Logic Circuit Optimization with Different FinFET Styles: Lower Power Possible at Higher Supply Voltage
机译:
使用不同FinFET样式的FinFET逻辑电路优化:更高电源电压时可能会降低功率
作者:
Chaudhuri Sourindra
;
Jha Niraj K.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
FinFETs;
asymmetric gate-workfunction;
leakage power;
power optimization;
36.
Challenges in Implementing Cache-Based Side Channel Attacks on Modern Processors
机译:
在现代处理器上实施基于缓存的边通道攻击的挑战
作者:
Gajrani Jyoti
;
Mazumdar Pooja
;
Sharma Sampreet
;
Menezes Bernard
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
AES;
attacker;
caches;
lookup tables;
prefetching;
processors;
side channel attacks;
37.
Improvements to Negative-C Compensation Based Amplifiers for Broadband Applications
机译:
宽带应用中基于负C补偿的放大器的改进
作者:
Cheeranthodi Rajesh
;
Madhavan Santhosh
;
Shukla Umesh K.
;
Rangan Giri N.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Bandwidth Enhancement techniques;
Current mode amplifiers;
Limiting amplifier;
Negative-C compensation;
Negative-Z compensation;
Stability;
38.
Techniques to Improve the Efficiency of SAT Based Path Delay Test Generation
机译:
提高基于SAT的路径延迟测试生成效率的技术
作者:
Bian Kun
;
Walker D.M.H.
;
Khatri Sunil P.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
observability;
path delay test;
satisfiability;
39.
Low Power Single Amplifier Voltage Regulator
机译:
低功率单放大器稳压器
作者:
Wadhwa Sanjay Kumar
;
Banerjee Jaideep
;
Gupta Rakesh Kumar
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
bandgap reference circuit;
linear voltage regulator;
low power analog;
40.
Active Cooling Technique for Efficient Heat Mitigation in 3D-ICs
机译:
主动冷却技术可有效缓解3D-IC中的热量
作者:
Kaddi Pramod
;
Reddy Basireddy Karunakar
;
Singh Shiv Gobind
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Peltier effect;
Peltier element;
TTSV (Thermal Through Silicon Via);
Thermoelectric effect;
back to face bonding;
41.
Analytical Modeling of Sub-onset Current of Tunnel Field Effect Transistor
机译:
隧道场效应晶体管次启动电流的解析模型
作者:
Singh Parmanand
;
Asthana Vivek
;
Sithanandam Radhakrishnan
;
Bulusu Anand more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Band-to-Band tunneling (BTBT);
Shockley- Reed-Hall (SRH) generation and recombination;
Tunnel field effect transistor (TFET);
effective tunneling mass;
recombination lifetime;
42.
An Adaptive Body-Biased Clock Generation System in 28nm CMOS
机译:
28nm CMOS的自适应身体偏置时钟生成系统
作者:
Shirasgaonkar Makarand
;
Vu Roxanne
;
Dressler Deborah
;
Nguyen Nhat more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Adaptive body bias;
PLL;
PSIJ;
43.
An Adaptive Inductorless Continuous Time Equalizer for Gigabit Links in 0.13 um CMOS
机译:
适用于0.13 um CMOS中千兆链路的自适应无电感连续时间均衡器
作者:
Monga Sushrant
;
Chatterjee Shouri
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Gbps;
continuous time equalizer;
decision feedback;
frequency response;
serial link receiver;
44.
Embedded Complex Floating Point Hardware Accelerator
机译:
嵌入式复杂浮点硬件加速器
作者:
Ghasemazar Amin
;
Goli Mehran
;
Afzali-Kusha Ali
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
ALU;
NIOS II;
co-processor;
floating point Complex number;
45.
Analytical Modeling of 3D Stacked IC Yield from Wafer to Wafer Stacking with Radial Defect Clustering
机译:
具有径向缺陷聚类的晶圆到晶圆堆叠的3D堆叠IC产量的解析模型
作者:
Singh Eshan
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
3D-Stacked ICs;
Defect Clusterings;
Wafer to Wafer Stacking;
Yield Models;
46.
All-SAT Using Minimal Blocking Clauses
机译:
使用最小阻塞子句的All-SAT
作者:
Yu Yinlei
;
Subramanyan Pramod
;
Tsiskaridze Nestan
;
Malik Sharad
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
All-SAT;
SAT;
SAT solver;
reachability;
verification;
47.
Minimizing Power and Skew in VLSI-SoC Clocking with Pulsed Resonance Driven De-skewing Latches
机译:
利用脉冲谐振驱动的去偏斜锁存器最大限度地降低VLSI-SoC时钟中的功耗和偏斜
作者:
Bezzam Ignatius
;
Krishnan Shoba
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Clock Distribution Network;
Clock Skew;
Dual Edge Triggering (DET);
Dynamic Voltage Frequency Scaling (DVFS);
Low Power;
Low Voltage;
Resonant Clocking;
48.
Pre-mapping Algorithm for Heterogeneous MPSoCs
机译:
异构MPSoC的预映射算法
作者:
Marcon Cesar
;
Webber Thais
;
Poehls Leticia B.
;
Pinotti Igor K.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
heterogeneous MPSoC;
mapping;
partitioning;
49.
Interfacing Synchronous and Asynchronous Domains for Open Core Protocol
机译:
开放式核心协议的同步域和异步域的接口
作者:
Vij Vikas S.
;
Gudla Raghu Prasad
;
Stevens Kenneth S.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Asynchronous;
GALS;
LAGS;
Open Core Protocol;
Synchronous;
50.
Configurable Systolic Matrix Multiplication
机译:
可配置的脉动矩阵乘法
作者:
Kamranfar Parastoo
;
Shahabi S.Ali
;
Vazhbakht Ghazaleh
;
Navabi Zainalabedin
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Matrix Multiplication;
Processing Element;
Reconfiguration;
Systolic Array Architecture;
51.
Delete and Correct (DaC): An Atomic Logic Operation for Removing Any Unwanted Wire
机译:
删除并更正(DaC):用于删除任何不需要的导线的原子逻辑操作
作者:
Wei Xing
;
Lam Tak-Kei
;
Yang Xiaoqing
;
Tang Wai-Chung more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Cut Enumeration;
Error Cancellation;
Error Cut;
Error Frontier;
Logic Rewiring;
52.
Statistical Modeling of Glitching Effects in Estimation of Dynamic Power Consumption
机译:
动态功耗估算中的毛刺效应统计模型
作者:
Meixner Michael
;
Noll Tobias G.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
RTL power estimator;
dynamic power consumption;
glitching;
macro-model;
power estimation;
statistical analysis;
53.
BSIM6 -- Benchmarking the Next-Generation MOSFET Model for RF Applications
机译:
BSIM6-基准用于RF应用的下一代MOSFET模型
作者:
Dutta Anupam
;
Sirohi Saurabh
;
Ethirajan Tamilmani
;
Agarwal Harshit more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
BSIM;
MOSFET;
bulk MOSFET model;
charge based model;
compact model;
model benchmarking;
reciprocity;
source-drain symmetry;
54.
Circuit for Reversible Quantum Multiplier Based on Binary Tree Optimizing Ancilla and Garbage Bits
机译:
基于二叉树优化辅助和垃圾位的可逆量子乘法器电路
作者:
Kotiyal Saurabh
;
Thapliyal Himanshu
;
Ranganathan Nagarajan
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Quantum ALU;
Quantum Computing;
Quantum Multiplier;
55.
An Optimized Design of Reversible Quantum Comparator
机译:
可逆量子比较器的优化设计
作者:
Phaneendra P.Sai
;
Vudadha Chetan
;
Sreehari V.
;
Srinivas M.B.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Comparator;
Prefix grouping;
Quantum computing;
Reversible logic;
56.
Histogram Based Deterministic Digital Background Calibration for Pipelined ADCs
机译:
基于直方图的流水线ADC确定性数字背景校准
作者:
Ravi Chithira
;
Rahul T.
;
Sahoo Bibhudatta
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
background calibration;
gain error;
histogram;
pipelined ADC;
57.
Reliability Aware Gate Sizing Combating NBTI and Oxide Breakdown
机译:
应对NBTI和氧化物击穿的可靠性感知闸门尺寸
作者:
Roy Subhendu
;
Pan David Z.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Gate Sizing;
NBTI;
Oxide Breakdown;
58.
Energy Efficient Memory Decoder Design for Ultra-low Voltage Systems
机译:
超低压系统的节能存储解码器设计
作者:
Viveka K.R.
;
Amrutur Bharadwaj
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Level shifter;
Memory interface design;
Subthreshold;
Ultra low power;
59.
Hardware Efficient VLSI Architecture for 3-D Discrete Wavelet Transform
机译:
高效的3D离散小波变换VLSI架构
作者:
Darji Anand
;
Shukla Saurabh
;
Merchant S.N.
;
Chandorkar A.N.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
ASIC;
Data Flow Graph;
Discrete Wavelet Transform;
FPGA;
Lifting;
VLSI Architecture;
60.
Performance Optimization and Parameter Sensitivity Analysis of Ultra Low Power Junctionless MOSFETs
机译:
超低功耗无结MOSFET的性能优化和参数灵敏度分析
作者:
Parihar Mukta Singh
;
Kranti Abhinav
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Double Gate MOSFET;
Intrinsic delay;
Junctionless;
Parameter Sensitivity;
Ultra Low Power;
61.
On Dependence of Amplitude Noise versus Offset Frequency in LC Oscillators
机译:
LC振荡器中幅度噪声与失调频率的关系
作者:
Sivaramakrishna R.
;
Gupta Shalabh
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
LC oscillator;
VCO;
amplitude noise;
corner of offset frequency;
figure-of-merit;
filters;
noise;
phase noise;
62.
Improved Design Methodology for the Development of Electrically Actuated MEMS Structures
机译:
用于电动MEMS结构开发的改进设计方法
作者:
Prasad AVSS
;
Venkatesh K.P.
;
Pratap Rudra
;
Bhat Navakanta
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Convection;
Doped semiconductor;
Electrical conductivity;
FEM;
SOI MUMPS;
Thermal conductivity;
63.
Control Mechanism to Solve False Blocking Problem at MAC Layer in Wireless Sensor Networks
机译:
解决无线传感器网络中MAC层错误阻塞问题的控制机制
作者:
Singh Brajendra K.
;
Tepe Kemal E.
;
Khalid Mohammed A.S.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
False Blocking problem;
MAC Protocol design;
Wireless Sensor Networks;
64.
CryptIP: An Approach for Encrypting Intellectual Property Cores with Simulation Capabilities
机译:
CryptIP:一种具有仿真功能的知识产权核心加密方法
作者:
Millican Spencer
;
Ramanathan Parameswaran
;
Saluja Kewal
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
IP core encryption;
SoC test;
system on chip;
65.
Timing Variation Adaptive Pipeline Design: Using Probabilistic Activity Completion Sensing with Backup Error Resilience
机译:
时序变化自适应管道设计:使用概率活动完成感测和备份错误恢复能力
作者:
Natarajan Jayaram
;
Kapoor Sahil
;
Bhatta Debesh
;
Chatterjee Abhijit more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Adaptive;
Low Power;
pipelines;
timing variation;
66.
Hardware Implementation of Real-Time, High Performance, RCE-NN Based Face Recognition System
机译:
实时,高性能,基于RCE-NN的人脸识别系统的硬件实现
作者:
Sardar Santu
;
Babu K.Ananda
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
CLAHE;
CMOS;
CamLink;
DWT;
FPGA;
FRS;
NN;
PCA;
RCE;
67.
Process Disturbance Analyzer for Nuclear Reactors
机译:
核反应堆过程扰动分析仪
作者:
Sirisha E.M.T.
;
Sridevi T.
;
Murthy D.Thirugnana
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Embedded System;
Event detection;
Fast Data Acquisition;
MC68020;
Nuclear Reactor;
Plant Availability;
68.
Design and Implementation of High Throughput and Area Efficient Hard Decision Viterbi Decoder in 65nm Technology
机译:
65nm技术中的高吞吐量和面积高效硬判决维特比解码器的设计与实现
作者:
Sugur Narayan V.
;
Siddamal Saroja V.
;
Vemala Samba Sivam
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
ACS unit;
MCLA adder;
SMU;
Viterbi decoder;
69.
Operand Isolation with Reduced Overhead for Low Power Datapath Design
机译:
用于低功耗数据路径设计的具有降低的开销的操作数隔离
作者:
Siddhu Lokesh
;
Mishra Amit
;
Singh Virendra
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Low Power Design;
Operand Isolation;
Power Supply Switching;
70.
Accelerating Genome Assembly Using Hard Embedded Blocks in FPGAs
机译:
使用FPGA中的硬嵌入式模块加速基因组组装
作者:
Varma B.Sharat Chandra
;
Paul Kolin
;
Balakrishnan M.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
FPGA based Acceleration;
Genome Assembly;
Hard Embedded Blocks;
NGS assembly;
71.
Scalable Test Generation by Interleaving Concrete and Symbolic Execution
机译:
通过交织混凝土和符号执行可扩展的测试生成
作者:
Qin Xiaoke
;
Mishra Prabhat
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
72.
Inserting Placeholder Slack to Improve Run-Time Scheduling of Non-preemptible Real-Time Tasks in Heterogeneous Systems
机译:
插入占位符松弛以改善异构系统中不可抢占的实时任务的运行时调度
作者:
Tang Hsiang-Kuo
;
Ramanathan Parmesh
;
Morrow Katherine
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Fairness;
Multi-cores;
Non-preemptive Heterogeneous System;
RTOS;
Real-Time;
Scheduling;
Token Bucket;
73.
Small Signal Nonquasi-static Model for Common Double-Gate MOSFETs Adapted to Gate Oxide Thickness Asymmetry
机译:
适应栅氧化物厚度不对称的普通双栅极MOSFET的小信号非准静态模型
作者:
Sharan Neha
;
Mahapatra Santanu
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Double-Gate MOSFET;
Non Quasi-Static Analysis;
74.
An ABCD Parameter Based Modeling and Analysis of Crosstalk Induced Effects in Multiwalled Carbon Nanotube Bundle Interconnects
机译:
基于ABCD参数的多壁碳纳米管束互连中串扰诱发效应的建模和分析
作者:
Sahoo Manodipan
;
Ghosal Prasun
;
Rahaman Hafizur
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
ABCD parameter;
Crosstalk;
Delay;
Integrated Circuit;
Interconnects;
Multiwalled Carbon Nanotube (MWCNT);
Nanoscale;
Noise;
SPICE;
75.
Output Impedance as Figure of Merit to Predict Transient Performance for Embedded Linear Voltage Regulators
机译:
输出阻抗,作为预测嵌入式线性稳压器瞬态性能的一项指标
作者:
Singh Saurabh Kumar
;
Bansal Nitin
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
CMOS;
IR drop;
LDO;
SSN;
fully on-chip voltage regulators;
linear voltage regulators;
output impedance;
power grid resonance;
transient performance;
voltage regulators;
76.
Debug Automation for Synchronization Bugs at RTL
机译:
RTL上的同步错误调试自动化
作者:
Dehbashi Mehdi
;
Fey Gorschwin
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
SAT-based debugging;
debug automation;
synchronization bug;
77.
Process-Variation Aware Multi-temperature Test Scheduling
机译:
过程变化感知的多温度测试计划
作者:
Aghaee Nima
;
Peng Zebo
;
Eles Petru
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
SoC test scheduling;
adaptive test;
multi-temperature test;
process variation;
78.
Application of Test-View Modeling to Hierarchical ATPG
机译:
测试视图建模在分层ATPG中的应用
作者:
Shukla Rahul
;
Loi Phong
;
Pham Ken
;
Margulis Arie more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
ATPG verification;
hierarchical atpg;
test view model;
79.
Knowledge-Guided Methodology for Third-Party Soft IP Analysis
机译:
第三方软IP分析的知识指导方法
作者:
Singh Bhanu
;
Shankar Arunprasath
;
Wolff Francis
;
Weyer Daniel more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Expert systems;
Knowledge base;
Ontology;
RTL Lint;
RTL analysis;
80.
SAT-Based Test Pattern Generation with Improved Dynamic Compaction
机译:
改进动态压缩的基于SAT的测试模式生成
作者:
Czutro Alexander
;
Reddy Sudhakar M.
;
Polian Ilia
;
Becker Bernd
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
81.
Architecture for Blocking Detection in Wireless Video Source Authentication
机译:
无线视频源认证中的阻塞检测架构
作者:
Pande Amit
;
Chen Shaxun
;
Mohapatra Prasant
;
Pande Gaurav
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Digital Camera Identification;
blocking;
hardware architecture;
82.
Formal Verification and Debugging of Array Dividers with Auto-correction Mechanism
机译:
具有自动校正机制的阵列除法器的形式验证和调试
作者:
Haghbayan M.H.
;
Alizadeh B.
;
Behnam P.
;
Safari S.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Formal verification;
arithmetic circuits;
debugging;
division algorithms;
83.
Better-than-Worst-Case Timing Design with Latch Buffers on Short Paths
机译:
短路径上具有锁存缓冲器的优于最坏情况的时序设计
作者:
Uppu Ravi Kanth
;
Uppu Ravi Tej
;
Singh Adit D.
;
Polian Ilia
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Aggressive clock;
Clock frequency scaling;
Error detection;
Path delay profiles;
Process variations;
84.
Pipelined Non-strobed Sensing Scheme for Lowering BL Swing in Nano-scale Memories
机译:
用于降低纳米级存储器中BL摆动的流水线非条纹传感方案
作者:
Khanna Sudhanshu
;
Nalam Satyanand V.
;
Calhoun Benton H.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
SRAM;
non-strobed sensing;
pipelining;
sense amplifier;
85.
Efficient Two-Phase Approaches for Branch-and-Bound Style Resource Constrained Scheduling
机译:
分支边界资源约束调度的高效两阶段方法
作者:
Chen Mingsong
;
Gu Fan
;
Zhou Lei
;
Pu Geguang more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Branch-and-Bound;
High-Level Synthesis;
Resource Constrained Scheduling;
86.
EME Electric Supervision Embedded on Gas Panel with Microshock Dangerousness Degree
机译:
带有微震危险度的嵌在煤气面板上的EME电气监督
作者:
Rebonatto Marcelo Trindade
;
Hessel Fabiano Passuelo
;
Spalding Luiz Eduardo Schardong
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Electric Supervision;
Embedded system;
Healthcare;
Microshock dangerousness;
87.
Design of AFE and PWM Drive for Lithium-Ion Battery Management System for HEV/EV System
机译:
HEV / EV系统锂离子电池管理系统的AFE和PWM驱动设计
作者:
Singamala Sudhakar
;
Brandl Mandfed
;
Vernekar Sandeep
;
Vulligadala Veereshbabu more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Lithium-ion battery management;
PWM drive;
active cell balancing;
charge shuttling switches;
clock level shifter;
differential comparator;
fly-back dc-dc converter;
88.
Process Synchronization in Multi-core Systems Using On-Chip Memories
机译:
使用片上存储器的多核系统中的进程同步
作者:
Joseph Arun
;
Dhanwada Nagu R.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
multi-core;
on-chip memories;
process synchronization;
89.
TECS: Temperature- and Energy-Constrained Scheduling for Multicore Systems
机译:
TECS:多核系统的温度和能量约束调度
作者:
Qin Xiaoke
;
Mishra Prabhat
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
90.
NoC Scheduling for Improved Application-Aware and Memory-Aware Transfers in Multi-core Systems
机译:
NoC计划,用于改进多核系统中的应用程序感知和内存感知传输
作者:
Pimpalkhute Tejasi
;
Pasricha Sudeep
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
91.
CARM: Congestion Adaptive Routing Method for On Chip Networks
机译:
CARM:片上网络的拥塞自适应路由方法
作者:
Kumar Manoj
;
Laxmi Vijay
;
Gaur Manoj Singh
;
Ko Seok-Bum more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Networks on Chip;
congestion;
deadlock freedom;
non-minimal paths;
routing;
92.
Efficient QR Decomposition Using Low Complexity Column-wise Givens Rotation (CGR)
机译:
使用低复杂度的列式给定旋转(CGR)进行有效的QR分解
作者:
Merchant Farhad
;
Chattopadhyay Anupam
;
Garga Ganesh
;
Nandy S.K. more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
CGRA;
QR Decomposition;
Systolic Arrays;
93.
Temperature Minimization Using Power Redistribution in Embedded Systems
机译:
嵌入式系统中使用功率重新分配的温度最小化
作者:
Ahmed Rehan
;
Ramanathan Parameswaran
;
Saluja Kewal K.
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Periodic Tasks;
Real-time/Embedded systems;
Scheduling;
Temperature minimization;
94.
Process Variation Aware Synthesis of Application-Specific MPSoCs to Maximize Yield
机译:
特定于应用的MPSoC的工艺变化感知合成可最大程度地提高产量
作者:
Kapadia Nishit
;
Pasricha Sudeep
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
algorithms;
design automation;
mapping;
system-level CAD;
voltage islands;
95.
Hard versus Soft Software Defined Radio
机译:
硬软件定义无线电
作者:
Meeus Wim
;
Aa Tom Vander
;
Raghavan Praveen
;
Stroobandt Dirk
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
High-Level Synthesis;
Software Defined Radio;
96.
ProCA: Progressive Configuration Aware Design Methodology for Low Power Stochastic ASICs
机译:
ProCA:用于低功耗随机ASIC的渐进式配置感知设计方法
作者:
Gala Neel
;
Devanathan V.R.
;
Srinivasan Karthik
;
Visvanathan V. more authors
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Configuration Aware;
Low Aower;
Stochastic;
Tunable;
Voltage Scaling;
97.
Scalable Low Power FFT/IFFT Architecture with Dynamic Bit Width Configurability
机译:
具有动态位宽可配置性的可扩展低功耗FFT / IFFT架构
作者:
Rangachari Sundarajan
;
Balakrishnan Jaiganesh
;
Chandrachoodan Nitin
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Dynamic Configuration;
FFT;
IFFT;
OFDM;
98.
Global Routing Using Monotone Staircases with Minimal Bends
机译:
使用具有最小弯曲的单调楼梯的全局路由
作者:
Kar Bapi
;
Sur-Kolay Susmita
;
Mandal Chittaranjan
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
VLSI floorplan;
bend minimization;
global routing;
monotone staircase routing region;
top-down hierarchy;
99.
On Manufacturing Aware Physical Design to Improve the Uniqueness of Silicon-Based Physically Unclonable Functions
机译:
论制造意识的物理设计,以提高基于硅的物理不可克隆功能的唯一性
作者:
Kumar Raghavan
;
Dhanuskodi Siva Nishok
;
Kundu Sandip
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Forbidden pitches;
Physically Unclonable Functions;
Sub-wavelength lithography;
100.
Obstacle Avoiding Rectilinear Clock Tree Construction with Skew Minimization
机译:
偏斜最小化避免直线时钟树构造的障碍
作者:
Saha Partha Pratim
;
Samanta Tuhina
会议名称:
《International Conference on VLSI Design;International Conference on Embedded Systems Design》
|
2014年
关键词:
Clock Skew Minimization;
Clock Tree;
Elmore Delay;
Obstacle;
Obstacle Avoiding Rectilinear Clock Tree Construction;
意见反馈
回到顶部
回到首页