掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Reliability Physics Symposium Proceedings, 2004. 42nd Annual
Reliability Physics Symposium Proceedings, 2004. 42nd Annual
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Comprehensive reliability evaluation of a 90 nm CMOS technology with Cu/PECVD low-k BEOL
机译:
具有Cu / PECVD low-k BEOL的90 nm CMOS技术的全面可靠性评估
作者:
Edelstein D.
;
Rathore H.
;
Davis C.
;
Clevenger L.
;
Cowley A.
;
Nogami T.
;
Agarwala B.
;
Arai S.
;
Carbone A.
;
Chanda K.
;
Chen F.
;
Cohen S.
;
Cote W.
;
Cullinan M.
;
Dalton T.
;
Das S.
;
Davis P.
;
Demarest J.
;
Dunn D.
;
Dziobkowski C.
;
Filippi R.
;
Fitzsimmons J.
;
Flaitz P.
;
Gates S.
;
Gill J.
;
Grill A.
;
Hawken D.
;
Ida K.
;
Klaus D.
;
Klymko N.
;
Lane M.
;
Lane S.
;
Lee J.
;
Landers W.
;
Li W.-K.
;
Lin Y.-H.
;
Liniger E.
;
Liu X.-H.
;
Madan A.
;
Malhotra S.
;
Martin J.
;
Molis S.
;
Muzzy C.
;
Nguyen D.
;
Nguyen S.
;
Ono M.
;
Parks C.
;
Questad D.
;
Restaino D.
;
Sakamoto A.
;
Shaw T.
;
Shimooka Y.
;
Simon A.
;
Simonyi E.
;
Swift A.
;
Van Kleeck T.
;
Vogt S.
;
Wang Y.-Y.
;
Wille W.
;
Wright J.
;
Yang C.-C.
;
Yoon M.
;
Ivers T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
2.
Pattern density effect of trench isolation-induced mechanical stress on device reliability in sub-0.1μm technology
机译:
0.1μm以下技术中沟槽隔离引起的机械应力的图案密度对器件可靠性的影响
作者:
Shih J.R.
;
Wang R.
;
Sheu Y.M.
;
Lin H.C.
;
Wang J.J.
;
Wu K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
3.
2004 IRPS Tutorial Program
机译:
2004年IRPS辅导计划
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
4.
Reliability assessment of ultra-thin HfO
2
oxides with TiN gate and polysilicon-n
+
gate
机译:
TiN栅和多晶硅-n
+ sup>栅对超薄HfO
2 sub>氧化物的可靠性评估
作者:
Garros X.
;
Leroux C.
;
Reinibold G.
;
Mitard J.
;
Guillaumot B.
;
Martin F.
;
Autran J.L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
5.
TDDB reliability assessments of 0.13 μm Cu/low-k interconnects fabricated with PECVD low-k materials
机译:
用PECVD低k材料制造的0.13μm铜/低k互连的TDDB可靠性评估
作者:
Hwang N.
;
Micaller-Silvestre M.C.A.
;
Chi Fo Tsang
;
Su J.Y.-J.
;
Cheng Cheng Kuo
;
Trigg A.D.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
6.
Hot-carrier stress induced low-frequency noise degradation in 0.13 μm and 0.18 μm RF CMOS technologies
机译:
0.13μm和0.18μmRF CMOS技术中热载流子应力引起的低频噪声衰减
作者:
Zhenrong Jin
;
Cressler J.D.
;
Abadeer W.
;
Xuefeng Liu
;
Hauser M.
;
Joseph A.J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
7.
Bipolar SCR ESD protection in a 0.25 μm Si-Ge process using subcollector region modification
机译:
0.25μmSi-Ge工艺中的双极SCR ESD保护,使用子集电极区域修改
作者:
Vashchenko V.A.
;
Concannon A.
;
ter Beek M.
;
Hopper P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
8.
Carrier separation analysis for clarifying leakage mechanism in unstressed and stressed HfAlO
x
/SiO
2
stack dielectric layers
机译:
载流子分离分析以阐明无应力和受应力HfAlO
x sub> / SiO
2 sub>堆叠介电层中的泄漏机理
作者:
Mizubayashi W.
;
Yasuda N.
;
Ota H.
;
Hisamatsu H.
;
Tominaga K.
;
Iwamoto K.
;
Yamamoto K.
;
Horikawa T.
;
Nabatame T.
;
Toriumi A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
9.
Wideband and high reliability RF-MEMS switches using PZT/HfO
2
multi-layered high K dielectrics
机译:
使用PZT / HfO
2 sub>多层高K电介质的宽带和高可靠性RF-MEMS开关
作者:
Tsaur J.
;
Onodera K.
;
Kobayashi T.
;
Ichiki M.
;
Maeda R.
;
Suga T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
10.
A new breakdown failure mechanism in HfO
2
gate dielectric
机译:
HfO
2 sub>栅介质中击穿失效的新机理
作者:
Ranjan R.
;
Pey K.L.
;
Tang L.J.
;
Tung C.H.
;
Groeseneken G.
;
Radhakrishnan M.K.
;
Kaczer B.
;
Degraeve R.
;
De Gendt S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
11.
Native-NMOS-triggered SCR (NANSCR) for ESD protection in 0.13-μm CMOS integrated circuits
机译:
原生NMOS触发SCR(NANSCR),可在0.13μmCMOS集成电路中提供ESD保护
作者:
Ming-Dou Ker
;
Kuo-Chun Hsu
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
12.
Correlation between Stress-Induced Leakage Current (SILC) and the HfO
2
bulk trap density in a SiO
2
/HfO
2
stack
机译:
SiO
2 sub> / HfO
2 sub>堆中应力诱导漏电流(SILC)与HfO
2 sub>体阱密度的相关性
作者:
Crupi F.
;
Degraeve R.
;
Kerber A.
;
Kwak D.H.
;
Groeseneken G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
13.
A new channel percolation model for V
T
shift in discrete-trap memories
机译:
离散陷阱存储器中V
T sub>移位的新通道渗流模型
作者:
Ielmini D.
;
Compagnoni C.M.
;
Spinelli A.S.
;
Lacaita A.L.
;
Gerardi C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
14.
Biographies
机译:
传记
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
15.
6-T cell circuit dependent GOX SBD model for accurate prediction of observed vccmin test voltage dependency
机译:
依赖于6-T电池电路的GOX SBD模型,可准确预测观察到的vccmin测试电压的依赖性
作者:
Mueller
;
K.
;
Gupta
;
S.
;
Pae
;
S.
;
Agostinelli
;
M.
;
Aminzadeh
;
P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
integrated circuit reliability;
leakage currents;
semiconductor device models;
6-T cell circuit dependent GOX SBD model;
accurate prediction;
oxide soft breakdown;
reliability;
circuit based gate oxide reliability model;
circuit topology;
PMOS bias temperature;
SBD time dependent leakage model;
16.
A low cost test vehicle for embedded DRAM capacitor: Investigation and monitoring of the process
机译:
嵌入式DRAM电容器的低成本测试工具:过程的调查和监视
作者:
Lopez
;
L.
;
Nee
;
D.
;
Masson
;
P.
;
Bouchakour
;
R.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
DRAM chips;
leakage currents;
semiconductor device breakdown;
semiconductor device reliability;
integrated circuit reliability;
integrated circuit testing;
low cost test vehicle;
embedded DRAM capacitor;
process investigation;
process monitoring;
leakage;
17.
Calibration and optimization of interconnect based MEMS test structures for predicting thermo-mechanical stress in metallization
机译:
基于互连的MEMS测试结构的校准和优化,用于预测金属化过程中的热机械应力
作者:
dos Santos
;
J.M.M.
;
Horsfall
;
A.B.
;
Pina
;
J.C.P.
;
Wright
;
N.G.
;
ONeill
;
A.G.
;
Wang
;
K.
;
Soare
;
S.M.
;
Bull
;
S.J.
;
Terry
;
J.G.
;
Walton
;
A.J.
;
Gundlach
;
A.M.
;
Stevenson
;
J.T.M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit reliability;
aluminium;
integrated circuit interconnections;
integrated circuit metallisation;
stress analysis;
creep;
X-ray diffraction;
integrated circuit testing;
calibration;
optimization;
interconnect based MEMS test structures;
thermo-mechanical stress;
metallization;
rotating beam sensor structure;
extrinsic stress;
expansion coefficient mismatch;
Al-Si;
sintering;
creep;
high resolution x-ray diffraction measurements;
150 C;
18.
Contention-induced latchup
机译:
竞争引起的闩锁
作者:
Mechler
;
J.T.
;
Brennan
;
C.
;
Massucco
;
J.
;
Rossi
;
R.
;
Wissel
;
L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
flip-flops;
trigger circuits;
application specific integrated circuits;
failure analysis;
contention-induced latchup;
external latchup trigger mechanisms;
transmission line reflections;
large overshoot;
undershoot voltages;
power supply sequencing;
multiple supplies;
I/O circuit design;
card design;
test structure design;
open-drain drivers;
transient driver contention;
JTAG testing;
Miller feedback capacitor;
failure analysis;
0.18 micron;
19.
C-V and C-P characterization sensitivities for fast and slow-state traps in very thin oxide MOSFETs
机译:
超薄氧化物MOSFET中快态和慢态陷阱的C-V和C-P表征灵敏度
作者:
Rosaye
;
J.-Y.
;
Yasuda
;
Y.
;
Sakai
;
A.
;
Mialhe
;
P.
;
Charles
;
J.-P.
;
Watanabe
;
Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor-insulator boundaries;
silicon;
silicon compounds;
leakage currents;
electric breakdown;
semiconductor device breakdown;
semiconductor device reliability;
defect states;
C-P characterization sensitivities;
C-V characterization sensitivities;
very thin oxide MOSFETs;
slow-state traps;
fast-state traps;
limited sensitivity;
defects;
capacitance-voltage measurements;
charge-pumping method;
thinner oxides;
defect properties;
cryogenic temperatures;
interface Si/SiO/sub 2/;
low defect densities;
Stress Induced Leakage Current;
53 nm;
Si-SiO/sub 2/;
20.
Degradation of ultra-thin oxides with tungsten gates under high voltage: wear-out and breakdown transient
机译:
高压下具有钨栅的超薄氧化物的降解:磨损和击穿瞬态
作者:
Palumbo
;
F.
;
Lombardo
;
S.
;
Stathis
;
J.H.
;
Narayanan
;
V.
;
McFeely
;
F.R.
;
Yurkas
;
J.J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
silicon compounds;
dielectric thin films;
electric breakdown;
semiconductor device breakdown;
semiconductor device reliability;
tungsten;
tunnelling;
ultra-thin oxides degradation;
W gates;
breakdown transient;
wear-out transient;
ultra-thin gate SiO/sub 2/ films;
accelerated high voltage stress;
SiO/sub 2/;
21.
Dendrite fuse re-growth kinetics on organic substrates for microprocessors
机译:
微处理器在有机基板上的树枝状熔丝重新生长动力学
作者:
Lambert
;
D.
;
Gannamani
;
R.
;
Blish
;
R.C.
;
II
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
humidity;
electric fuses;
dendrite fuse re-growth kinetics;
organic substrates;
microprocessors;
Temperature Humidity Bias stresses;
power law;
bias voltage;
product reliability;
22.
Finding voids in dual damascene Cu vias and their impact on reliability
机译:
在双镶嵌铜通孔中发现空隙及其对可靠性的影响
作者:
Dong
;
W.
;
Ji
;
J.
;
Sanan Liang
;
Zhang
;
M.
;
Liao
;
S.
;
Chorng Niou
;
Wei-Ting Kary Chien
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
integrated circuit reliability;
electric breakdown;
electromigration;
voids (solid);
integrated circuit testing;
transmission electron microscopy;
voids;
dual damascene Cu vias;
reliability;
electrical resistance;
electromigration resistance;
higher current densities;
low powers;
increased packing density;
Cu interconnection;
lower total cost;
better performance;
improved reliability;
defect identification;
reduction;
yield;
fabrication cost;
single via test patterns;
chain test patterns;
SRAM test qualification vehicles;
failure analysis tools;
passive voltage contrast;
OBIRCH;
Optical Beam Induced Resistance Change;
SRAM functional tests;
TEM;
0.13 micron;
Cu;
23.
Impact of gate sidewall spacer structures on DRAM cell transistors under Fowler-Nordheim and gate-induced drain leakage stress conditions
机译:
Fowler-Nordheim和栅极感应的漏极泄漏应力条件下,栅极侧壁间隔结构对DRAM单元晶体管的影响
作者:
Kwan-Yong Lim
;
Se-Aug Jang
;
Yong Soo Kim
;
Heung-Jae Cho
;
Jae-Geun Oh
;
Su-Ock Chung
;
Sung-Joon Lee
;
Woo-Kyung Sun
;
Jai-Bum Suh
;
Hong-Seon Yang
;
Hyun-Chul Sohn
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
DRAM chips;
leakage currents;
integrated circuit reliability;
electric breakdown;
dielectric thin films;
gate sidewall spacer structures;
DRAM cell transistors;
Fowler-Nordheim;
gate-induced drain leakage stress conditions;
reliability characteristics;
gate oxide stress-induced leakage current;
junction leakage;
drain current-gate voltage measurement;
relatively poor reliability;
24.
Investigation of reliability problems in thermal inkjet printhead
机译:
热喷墨打印头可靠性问题的研究
作者:
Ji-Hyuk Lim
;
Keon-Kuk
;
Seung-Joo Shin
;
Seog-Soon Baek
;
Young-Jae Kim
;
Jong-Woo Shin
;
Yong-Soo Oh
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
micromechanical devices;
semiconductor device reliability;
electromigration;
ink jet printers;
failure analysis;
reliability problems;
thermal inkjet printhead;
MEMS process;
design modification;
micro heater;
25.
PMOS drain breakdown voltage walk-in: a new failure mode in high power BiCMOS applications
机译:
PMOS漏极击穿电压检测:大功率BiCMOS应用中的新故障模式
作者:
Brisbin
;
D.
;
Strachan
;
A.
;
Chaparala
;
P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
BiCMOS integrated circuits;
integrated circuit reliability;
failure analysis;
electric breakdown;
power integrated circuits;
PMOS drain breakdown voltage walk-in;
failure mode;
high power BiCMOS applications;
device operating voltage;
50 to 100 V;
5 to 15 V;
80 V;
150 C;
26.
Qualification method for DRAM retention by leakage current evaluation using subthreshold characteristics of cell transistors
机译:
使用单元晶体管的亚阈值特性通过泄漏电流评估来保持DRAM的资格方法
作者:
Young Pil Kim
;
Beom Jun Jin
;
Sun-Ghil Lee
;
Siyoung Choi
;
Uin Chung
;
Joo Tae Moon
;
Kim
;
S.U.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
DRAM chips;
leakage currents;
integrated circuit testing;
integrated circuit reliability;
qualification method;
DRAM retention;
leakage current evaluation;
subthreshold characteristics;
cell transistors;
subthreshold characteristic parameters;
retention time;
measurement limitation;
27.
Retention loss characteristics of localized charge-trapping devices
机译:
局部电荷陷阱装置的保留损耗特性
作者:
Lusky
;
E.
;
Shacham-Diamand
;
Y.
;
Shappir
;
A.
;
Bloom
;
I.
;
Cohen
;
G.
;
Eitan
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
read-only storage;
electron traps;
semiconductor device breakdown;
semiconductor device reliability;
hole traps;
localised states;
semiconductor storage;
semiconductor device models;
retention loss characteristics;
localized charge-trapping devices;
distribution-based retention loss model;
nitride layer;
energy distribution;
hole trapping;
electron trapping;
threshold voltage drifts;
vertical charge transport;
28.
RF S-parameter degradation under hot carrier stress
机译:
热载流子应力下RF S参数退化
作者:
Walko
;
J.P.
;
Abadeer
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
CMOS integrated circuits;
integrated circuit design;
integrated circuit testing;
integrated circuit reliability;
hot carriers;
RF S-parameter degradation;
hot carrier stress;
high frequency capabilities;
CMOS;
analog design;
analog circuits;
RF circuits;
RF design;
MOSFETs;
frequency;
scaled high performance CMOS technologies;
29.
Thermal Laser Stimulation of active devices in silicon - a quantitative FET parameter investigation
机译:
硅中有源器件的热激光刺激-定量FET参数研究
作者:
Boit
;
C.
;
Glowacki
;
A.
;
Brahma
;
S.K.
;
Wirth
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
field effect transistors;
measurement by laser beam;
carrier mobility;
semiconductor device breakdown;
semiconductor device reliability;
semiconductor device testing;
failure analysis;
thermal laser stimulation;
quantitative FET parameter investigation;
Si devices;
free carrier absorption;
heavily doped areas;
frontside;
backside;
output curve shifts;
device parameters;
30.
A chip and pixel qualification methodology on imaging sensors
机译:
成像传感器的芯片和像素鉴定方法
作者:
Yuan Chen
;
Guertin
;
S.M.
;
Petkov
;
M.
;
Nguyen
;
D.N.
;
Novak
;
F.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CCD image sensors;
CMOS image sensors;
integrated circuit reliability;
dark conductivity;
integrated circuit testing;
pixel qualification methodology;
chip qualification methodology;
imaging sensors;
overall figure of merit;
dark rate;
linearity;
dark current nonuniformity;
fixed pattern noise;
photon response nonuniformity;
31.
A methodology for accurate assessment of soft-broken gate oxide leakage and the reliability of VLSI circuits
机译:
精确评估软破碎栅极氧化物泄漏和VLSI电路可靠性的方法
作者:
Mason
;
P.W.
;
La Duca
;
A.J.
;
Holder
;
C.H.
;
Alam
;
M.A.
;
Hwang
;
D.K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
VLSI;
integrated circuit reliability;
integrated circuit modelling;
leakage currents;
electric breakdown;
soft-broken gate oxide leakage;
reliability;
accurate assessment;
VLSI circuits;
standby leakage current;
post-soft-breakdown leakage-scaling model;
device-level time-dependent dielectric breakdown;
absolute reliability;
ultra-thin oxides;
32.
A new waveform-dependent lifetime model for dynamic NBTI in PMOS transistor
机译:
PMOS晶体管中动态NBTI的新的与波形有关的寿命模型
作者:
Shyue Seng Tin
;
Chen
;
T.P.
;
Ang
;
C.H.
;
Chan
;
L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device models;
semiconductor device breakdown;
semiconductor device reliability;
waveform-dependent lifetime model;
dynamic NBTI;
PMOS transistor;
inverter-alike digital waveforms;
effective annealing;
33.
Cause of erase speed degradation during two-bit per cell operation of a trapping nitride storage flash memory cell
机译:
捕获氮化物存储闪存单元在每单元两位操作期间擦除速度降低的原因
作者:
Tsai
;
W.J.
;
Zous
;
N.K.
;
Chou
;
M.H.
;
Huang
;
S.
;
Chen
;
H.Y.
;
Yeh
;
Y.H.
;
Liu
;
M.Y.
;
Yeh
;
C.C.
;
Wang
;
T.
;
Ku
;
J.
;
Chih-Yuan Lu
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
semiconductor device breakdown;
semiconductor device reliability;
erase speed degradation;
two-bit per cell operation;
trapping nitride storage flash memory cell;
trapped-electron area;
second-programmed bit;
central channel region;
program/erase cycling;
nearly punch-through cell;
34.
Effects of hot-carrier stress on the performance of CMOS Low Noise Amplifier
机译:
热载流子应力对CMOS低噪声放大器性能的影响
作者:
Naseh
;
S.
;
Deen
;
M.J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
radiofrequency amplifiers;
hot carriers;
stress analysis;
failure analysis;
integrated circuit reliability;
hot-carrier stress;
performance;
CMOS Low Noise Amplifier;
NMOSFETs;
transconductance;
output conductance;
power gain;
input matching;
output matching;
noise figure;
biasing points;
channel thermal noise;
hot carriers;
35.
Failure mechanisms of GaN-based LEDs related with instabilities in doping profile and deep levels
机译:
与掺杂轮廓和深层不稳定性相关的GaN基LED的失效机理
作者:
Meneghesso
;
G.
;
Levada
;
S.
;
Zanoni
;
E.
;
Salviati
;
G.
;
Armani
;
N.
;
Rossi
;
F.
;
Pavesi
;
M.
;
Manfredi
;
M.
;
Cavallini
;
A.
;
Castaldini
;
A.
;
Du
;
S.
;
Eliashevich
;
I.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
gallium compounds;
indium compounds;
III-V semiconductors;
wide band gap semiconductors;
light emitting diodes;
semiconductor device breakdown;
semiconductor device reliability;
deep levels;
semiconductor doping;
doping profiles;
magnesium;
hydrogen;
failure mechanisms;
GaN-based LEDs;
instabilities;
doping profile;
deep levels;
InGaN/GaN LEDs;
biasing current levels;
quantum well;
active layer;
InGaN-GaN;
36.
Gate dielectric degradation mechanism associated with DBIE evolution
机译:
与DBIE演化相关的栅极电介质降解机制
作者:
Pey
;
K.L.
;
Ranjan
;
R.
;
Tung
;
C.H.
;
Tang
;
L.J.
;
Lin
;
W.H.
;
Radhakrishnan
;
M.K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
percolation;
transmission electron microscopy;
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
leakage currents;
gate dielectric degradation mechanism;
DBIE evolution;
breakdown spots;
metal-oxide-semiconductor transistor;
dielectric-breakdown-induced epitaxy;
high resolution transmission electron microscope;
initial soft breakdown location;
percolation path;
transistor channel;
breakdown leakage current;
source region;
drain region;
37.
Hot carrier degradation in novel strained-Si nMOSFETs
机译:
新型应变硅nMOSFET中的热载流子退化
作者:
Lu
;
M.F.
;
Chiang
;
S.
;
Liu
;
A.
;
Huang-Lu
;
S.
;
Yeh
;
M.S.
;
Hwang
;
J.R.
;
Tang
;
T.H.
;
Shiau
;
W.
;
Chen
;
M.C.
;
Tahui Wang
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
hot carriers;
semiconductor device breakdown;
semiconductor device reliability;
impact ionisation;
hot carrier degradation;
novel strained-Si nMOSFETs;
reliability problems;
operation voltage;
Si;
38.
Hot-carrier injection in step-drift rf power LDMOSFET
机译:
步进漂移射频功率LDMOSFET中的热载流子注入
作者:
Cao
;
G.
;
De Souza
;
M.M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
hot carriers;
semiconductor device breakdown;
semiconductor device reliability;
radiofrequency amplifiers;
charge injection;
surface potential;
hot-carrier injection;
step-drift rf power LDMOSFET;
lower electric field;
channel region;
substrate current;
step-drift design;
single-drift design;
bias drift;
current sweep range;
voltage sweep range;
power capability;
RF amplifier;
transconductance performance;
39.
Identification of electromigration dominant diffusion path for Cu damascene interconnects and effect of plasma treatment and barrier dielectrics on electromigration performance
机译:
铜镶嵌互连的电迁移主要扩散路径的识别以及等离子体处理和势垒电介质对电迁移性能的影响
作者:
Usui
;
T.
;
Oki
;
T.
;
Miyajima
;
H.
;
Tabuchi
;
K.
;
Watanabe
;
K.
;
Hasegawa
;
T.
;
Shibata
;
H.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon compounds;
copper;
integrated circuit metallisation;
integrated circuit interconnections;
semiconductor-metal boundaries;
electromigration;
integrated circuit testing;
diffusion barriers;
integrated circuit reliability;
electromigration dominant diffusion path;
Cu damascene interconnects;
plasma treatment;
electromigration performance;
electromigration testing pattern;
barrier dielectrics;
interface;
SiC/sub x/N/sub y/;
SiC/sub x/;
lower activation energy;
shorter lifetime;
Cu;
40.
Impact of gate-oxide breakdown of varying hardness on narrow and wide nFET's
机译:
硬度不同的栅氧化层击穿对窄和宽nFET的影响
作者:
Kaczer
;
B.
;
De Keersgieter
;
A.
;
Mahmood
;
S.
;
Degraeve
;
R.
;
Groeseneken
;
G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
leakage currents;
dielectric thin films;
hardness;
electric breakdown;
gate-oxide breakdown;
hardness;
narrow nFET;
soft gate-oxide breakdown event;
voltage stress;
41.
Impact of off-state leakage current on electromigration design rules for nanometer scale CMOS technologies
机译:
断态泄漏电流对纳米级CMOS技术电迁移设计规则的影响
作者:
Sheng-Chih Lin
;
Basu
;
A.
;
Keshavarzi
;
A.
;
De
;
V.
;
Mehrotra
;
A.
;
Banerjee
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit design;
leakage currents;
integrated circuit reliability;
integrated circuit interconnections;
nanotechnology;
current density;
off-state leakage current;
electromigration design rules;
nanometer scale CMOS technologies;
electrothermally coupled evaluation;
interconnects lifetime;
interconnect temperature-rise equation;
Joule-heating;
comprehensive design guidelines;
maximum allowable current density;
42.
Investigation of hot carrier effects in n-MISFETs with HfSiON gate dielectric
机译:
具有HfSiON栅极电介质的n-MISFET中热载流子效应的研究
作者:
Takayanagi
;
M.
;
Watanabe
;
T.
;
Iijima
;
R.
;
Ishimaru
;
K.
;
Tsunashima
;
Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MISFET;
tunnelling;
hot carriers;
electron traps;
hafnium compounds;
silicon compounds;
semiconductor device breakdown;
semiconductor device reliability;
hot carrier effects;
n-MISFETs;
HfSiON gate dielectric;
hot carrier reliability;
electron traps;
device degradation;
worst hot carrier stress condition;
HfSiON;
43.
Investigation of misfit dislocation leakage in supercritical strained silicon MOSFETs
机译:
超临界应变硅MOSFET失配位错泄漏的研究
作者:
Fiorenza
;
J.G.
;
Braithwaite
;
G.
;
Leitz
;
C.
;
Currie
;
M.T.
;
Cheng
;
Z.Y.
;
Yang
;
V.K.
;
Langdo
;
T.
;
Carlin
;
J.
;
Somerville
;
M.
;
Lochtefeld
;
A.
;
Badawi
;
H.
;
Bulsara
;
M.T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon;
elemental semiconductors;
MOSFET;
leakage currents;
dislocation structure;
semiconductor device breakdown;
semiconductor device reliability;
misfit dislocation leakage;
supercritical strained Si MOSFETs;
off-state current leakage;
supercritical thickness;
dopant diffusion;
photon emission microscopy;
off-state leakage;
gate length dependence;
conceptual model;
44.
Model-based guidelines to suppress cable discharge event (CDE) induced latchup in CMOS ICs
机译:
基于模型的准则来抑制CMOS IC中电缆放电事件(CDE)引起的闩锁
作者:
Chatty
;
K.
;
Cottrell
;
P.
;
Gauthier
;
R.
;
Muhammad
;
M.
;
Stellari
;
F.
;
Weger
;
A.
;
Song
;
P.
;
McManus
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
integrated circuit modelling;
flip-flops;
Model-based guidelines;
suppress cable discharge event induced latchup;
CMOS ICs;
analytical model;
physical design guidelines;
test chips;
transient pulses;
DC current pulses;
130 nm;
6 A;
45.
Modeling of NBTI degradation and its impact on electric field dependence of the lifetime
机译:
NBTI退化建模及其对寿命中电场依赖性的影响
作者:
Aono
;
H.
;
Murakami
;
E.
;
Okuyama
;
K.
;
Nishida
;
A.
;
Minami
;
M.
;
Ooji
;
Y.
;
Kubota
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
semiconductor device models;
electric breakdown;
NBTI degradation modeling;
electric field dependence;
lifetime;
Negative Bias Temperature Instability;
p-MOSFETs;
stress gate voltages;
empirical models;
kinetic models;
46.
Negative bias temperature instability in triple gate transistors
机译:
三栅极晶体管的负偏置温度不稳定性
作者:
Shigenobu Maeda
;
Jung-A Choi
;
Jeong-Hwan Yang
;
You-Seung Jin
;
Su-Kon Bae
;
Young-Wug Kim
;
Kwang-Pyuk Suh
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
dangling bonds;
interface states;
negative bias temperature instability;
triple gate transistors;
threshold voltage shift;
planar transistors;
larger trap state density;
side surface;
47.
Neutron-induced soft error in logic devices using quasi-monoenergetic neutron beam
机译:
准单能中子束在逻辑器件中的中子感应软误差
作者:
Yamamoto
;
S.
;
Kokuryou
;
K.
;
Okada
;
Y.
;
Komori
;
J.
;
Murakami
;
E.
;
Kubota
;
K.
;
Matsuoka
;
N.
;
Nagai
;
Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
logic devices;
neutron effects;
flip-chip devices;
radiation hardening (electronics);
integrated circuit design;
neutron-induced soft error;
logic devices;
quasi-monoenergetic neutron beam;
flip-flop circuit;
48.
PMOS NBTI-induced circuit mismatch in advanced technologies
机译:
先进技术中PMOS NBTI引起的电路失配
作者:
Agostinelli
;
M.
;
Lau
;
S.
;
Pae
;
S.
;
Marzolf
;
P.
;
Muthali
;
H.
;
Jacobs
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
CMOS analogue integrated circuits;
PMOS NBTI-induced circuit mismatch;
advanced technologies;
negative bias temperature instability;
analog circuits;
90 nm;
49.
Reliability and design qualification of a sub-micron tungsten silicide E-Fuse
机译:
亚微米硅化钨电子熔丝的可靠性和设计资格
作者:
Tonti
;
W.R.
;
Fifield
;
J.A.
;
Higgins
;
J.
;
Guthrie
;
W.H.
;
Berry
;
W.
;
Narayan
;
C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
VLSI;
integrated circuit design;
design qualification;
reliability;
sub-micron tungsten silicide E-Fuse;
Sub micron CMOS;
repair options;
VLSI designs;
packaged die;
final yield benefits;
fuse neighbors;
misaligned laser source;
programming debris reservoir;
repair solutions;
50.
Reliability improvement using buried capping layer in advanced interconnects
机译:
在高级互连中使用掩埋覆盖层来提高可靠性
作者:
Yiang
;
K.Y.
;
Mok
;
T.S.
;
Yoo
;
W.J.
;
Krishnamoorthy
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
integrated circuit reliability;
leakage currents;
electric breakdown;
silicon compounds;
dielectric thin films;
permittivity;
reliability improvement;
buried capping layer;
advanced interconnects;
electrical leakage;
breakdown characteristics;
low-dielectric constant dielectrics;
inter-metals spacings;
0.1 /spl mu/m technology node;
Cu damascene structures;
Cu/SiOC interdigitated comb structures;
leakage current;
0.1 micron;
100 /spl Aring/;
Cu-SiOC;
51.
SRAM SER in 90, 130 and 180 nm bulk and SOI technologies
机译:
采用90、130和180 nm批量以及SOI技术的SRAM SER
作者:
Cannon
;
E.H.
;
Reinhardt
;
D.D.
;
Gordon
;
M.S.
;
Makowenskyj
;
P.S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon-on-insulator;
SRAM chips;
radiation hardening (electronics);
integrated circuit reliability;
semiconductor device reliability;
SRAM SER;
SOI technologies;
soft error rate;
Monte Carlo modeling;
radiation sources;
180 nm;
130 nm;
90 nm;
52.
The improvement of copper interconnect electromigration resistance by cap/dielectric interface treatment and geometrical design
机译:
通过盖/电介质界面处理和几何设计提高铜互连电迁移电阻
作者:
Lin
;
M.H.
;
Lin
;
Y.L.
;
Chen
;
J.M.
;
Tsai
;
C.C.
;
Yeh
;
M.-S.
;
Liu
;
C.C.
;
Hsu
;
S.
;
Wang
;
C.H.
;
Sheng
;
Y.C.
;
Chang
;
K.P.
;
Su
;
K.C.
;
Chang
;
Y.J.
;
Tahui Wang
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
electromigration;
integrated circuit design;
Cu interconnect electromigration resistance;
cap/dielectric interface treatment;
geometrical design;
cap-layer deposition;
Cu-silicide formation;
adhesion;
geometrical layout variation;
stress current direction;
Cu;
53.
Transient-LU failure analysis of the ICs, methods of investigation and computer aided simulations
机译:
IC的瞬态LU故障分析,研究方法和计算机辅助仿真
作者:
Domanski
;
K.
;
Bargstadt-Franke
;
S.
;
Stadler
;
W.
;
Streibl
;
M.
;
Steckert
;
G.
;
Bala
;
W.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit reliability;
integrated circuit testing;
failure analysis;
technology CAD (electronics);
transient analysis;
transient-LU failure analysis;
computer aided simulations;
transient latch-up;
TCAD simulations;
54.
Base pushout driven snapback in parasitic bipolar devices between different power domains
机译:
不同功率域之间的寄生双极型器件中的基本推出驱动骤回
作者:
Glaser
;
U.
;
Schneider
;
J.
;
Streibl
;
M.
;
Esmark
;
K.
;
Druen
;
S.
;
Gossner
;
H.
;
Fichtner
;
W.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electrostatic discharge;
integrated circuit testing;
integrated circuit modelling;
integrated circuit reliability;
bipolar integrated circuits;
base pushout driven snapback;
parasitic bipolar devices;
power domains;
integrated circuits;
ESD failure modes;
trigger voltage;
ESD concept design;
thermo-electrical device simulations;
device design level;
circuit design level;
0.13 micron;
55.
Characterization of the time-dependent reliability fallout as a function of yield for a 130nm SRAM device and application to optimize production burn-in
机译:
130nm SRAM器件随时间变化的可靠性下降的特征与良率的关系及其在优化生产老化方面的应用
作者:
Forbes
;
K.R.
;
Schani
;
P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
SRAM chips;
integrated circuit reliability;
integrated circuit manufacture;
integrated circuit yield;
time-dependent reliability fallout;
yield;
130nm SRAM device;
optimize production burn-in;
thermal acceleration;
voltage;
high-voltage test screening;
130 nm;
56.
Comparison between neutron-induced system-SER and accelerated-SER in SRAMS
机译:
SRAMS中子诱发系统SER与加速SER的比较
作者:
Kobayashi
;
H.
;
Usuki
;
H.
;
Shiraishi
;
K.
;
Tsuchiya
;
H.
;
Kawamoto
;
N.
;
Merchant
;
G.
;
Kase
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
SRAM chips;
neutron effects;
radiation hardening (electronics);
neutron-induced system-SER;
accelerated-SER;
SRAMS;
high flux effect;
incident neutron angle dependence;
temperature dependence;
time variation;
cosmic rays;
neutron flux;
0.18 micron;
0.13 micron;
16 Mbyte;
57.
Degradation mechanism of GaAs PHEMT power amplifiers under elevated temperature lifetest with RF-overdrive
机译:
GaAs PHEMT功率放大器在射频超载下的高温寿命试验中的降解机理
作者:
Chou
;
Y.C.
;
Lai
;
R.
;
Grundbacher
;
R.
;
Yu
;
M.
;
Leung
;
D.
;
Callejo
;
L.
;
Eng
;
D.
;
Okazaki
;
D.
;
Yamane
;
B.
;
Kiyono
;
K.
;
Kan
;
Q.
;
Oki
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
gallium arsenide;
power HEMT;
semiconductor device breakdown;
semiconductor device reliability;
Schottky barriers;
chemical interdiffusion;
field effect MMIC;
degradation mechanism;
GaAs PHEMT power amplifiers;
RF-overdrive;
three-temperature elevated lifetest;
Pout degradation;
Ti gate metal interdiffusion;
AlGaAs Schottky barrier layer;
reliability performance;
mean-time-to-failure;
185 degC;
200 degC;
215 degC;
20 GHz;
1.65 eV;
GaAs;
AlGaAs;
58.
Degradation mechanisms of siloxane-based thermal interface materials under reliability stress conditions
机译:
可靠性应力条件下硅氧烷基热界面材料的降解机理
作者:
Dal
;
S.L.B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
silicon compounds;
failure analysis;
semiconductor device packaging;
degradation mechanisms;
siloxane-based thermal interface materials;
reliability stress conditions;
semiconductor packages;
degradation behavior;
moisture exposure;
bake;
temperature plus moisture exposure;
thermal interface material;
59.
Effects of hot spot hopping and drain ballasting in integrated vertical DMOS devices under TLP stress
机译:
TLP应力下集成垂直DMOS器件中热点跳变和漏极镇流的影响
作者:
Moens
;
P.
;
Bychikhin
;
S.
;
Reynders
;
K.
;
Pogany
;
D.
;
Zubeidat
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOS integrated circuits;
hot carriers;
electrostatic discharge;
hopping conduction;
power semiconductor devices;
integrated circuit reliability;
hot spot hopping;
drain ballasting;
integrated vertical DMOS devices;
TLP stress;
n-type buried layer;
hopping frequency;
maximum average temperature;
robustness under transmission line pulsing;
backside transient interferometric mapping experiments;
60.
Electrical fails specific to Pressure Cooker Test
机译:
特定于压力锅测试的电气故障
作者:
Wiggins
;
L.
;
Perry
;
C.
;
Coffin
;
J.
;
Dyll
;
E.
;
Fausse
;
M.
;
Masanori
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
ball grid arrays;
plastic packaging;
electric breakdown;
Pressure Cooker Test;
failure analysis;
failure mechanism;
Flip Chip Plastic Ball Grid Array;
solder causing shorts;
61.
Gate dielectric breakdown: A focus on ESD protection
机译:
栅极电介质击穿:侧重于ESD保护
作者:
Weir
;
B.E.
;
Che-Choi Leung
;
Silverman
;
P.J.
;
Alam
;
M.A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
field effect transistors;
electrostatic discharge;
electric breakdown;
semiconductor device reliability;
dielectric thin films;
gate dielectric breakdown;
ESD protection;
electrostatic discharge;
scaling;
operating voltages;
breakdown voltage;
snapback;
median breakdown voltage;
gate dielectric robustness;
compact decoupling capacitors;
interconnects;
statistical variation;
dielectric breakdown;
NFETS;
PFETS;
2 nm;
62.
Highly reliable dielectric/metal bilayer sidewall diffusion barrier in Cu/porous organic ultra low-k interconnects
机译:
Cu /多孔有机超低k互连中的高度可靠的介电层/金属双层侧壁扩散阻挡层
作者:
Zhe Chen
;
Prasad
;
K.
;
Li
;
C.Y.
;
Lu
;
P.W.
;
Su
;
S.S.
;
Tang
;
L.J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
surface diffusion;
diffusion barriers;
organic compounds;
permittivity;
dielectric thin films;
semiconductor device reliability;
integrated circuit reliability;
plasma CVD coatings;
surface roughness;
highly reliable dielectric/metal bilayer sidewall diffusion barrier;
Cu/porous organic ultra low-k interconnects;
a-SiC:H;
dielectric layer;
metal layer;
reliability;
electrical performance;
plasma enhanced chemical vapor deposition;
rough surface;
Cu;
63.
Hole trapping effect on methodology for DC and AC negative bias temperature instability measurements in PMOS transistors
机译:
空穴陷阱对PMOS晶体管中DC和AC负偏置温度不稳定性测量方法的影响
作者:
Huard
;
V.
;
Denais
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
interface states;
hole traps;
semiconductor device breakdown;
semiconductor device reliability;
hole trapping effect;
AC negative bias temperature instability;
DC negative bias temperature instability;
PMOS transistors;
transient effects;
hole trapping/detrapping effect;
64.
Hot carrier reliability of a SiGe/Si hetero-interface in SiGe MOSFETs
机译:
SiGe MOSFET中SiGe / Si异质界面的热载流子可靠性
作者:
Tsuchiya
;
T.
;
Sakuraba
;
M.
;
Murota
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon;
Ge-Si alloys;
semiconductor heterojunctions;
MOSFET;
hot carriers;
semiconductor device reliability;
interface states;
defect states;
hot carrier reliability;
SiGe/Si hetero-interface;
SiGe MOSFETs;
hetero-interface traps;
hot carriers;
trap density;
low-temperature charge pumping technique;
SiGe-Si;
65.
Integration issues of high-k gate stack: Process-induced charging
机译:
高k栅堆叠的集成问题:过程感应充电
作者:
Bersuker
;
G.
;
Gutt
;
J.
;
Chaudhary
;
N.
;
Moumen
;
N.
;
Lee
;
B.H.
;
Barnett
;
J.
;
Gopalan
;
S.
;
Brown
;
G.
;
Kim
;
Y.
;
Young
;
C.D.
;
Peterson
;
J.
;
Li
;
H.-J.
;
Zeitzoff
;
P.M.
;
Sim
;
G.A.J.H.
;
Lysaght
;
P.
;
Gardner
;
M.
;
Murto
;
R.W.
;
Huff
;
H.R.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
hafnium compounds;
CMOS integrated circuits;
dielectric thin films;
permittivity;
integrated circuit reliability;
electric breakdown;
integration issues;
high-k gate stack;
process-induced charging;
Hf-based gate stacks;
standard planar CMOS process flow;
transistor processing;
electrical properties;
short channel transistors;
process sequence;
66.
Magnetoresistive random access memory (MRAM) and reliability
机译:
磁阻随机存取存储器(MRAM)和可靠性
作者:
Hughes
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
magnetoresistive devices;
random-access storage;
magnetic storage;
reliability;
magnetoresistive random access memory;
reliability;
design;
operation;
reliability engineering perspective;
reliability problems;
reliability issues;
67.
Measurements of effective thermal conductivity for advanced interconnect structures with various composite low-k dielectrics
机译:
测量具有各种复合低k电介质的高级互连结构的有效导热率
作者:
Chen
;
F.
;
Gill
;
J.
;
Harmon
;
D.
;
Sullivan
;
T.
;
Li
;
B.
;
Strong
;
A.
;
Rathore
;
H.
;
Edelstein
;
D.
;
Yang
;
C.-C.
;
Cowley
;
A.
;
Clevenger
;
L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
thermal conductivity;
VLSI;
current density;
integrated circuit metallisation;
integrated circuit interconnections;
composite materials;
dielectric thin films;
permittivity;
effective thermal conductivity;
advanced interconnect structures;
composite low-k dielectrics;
design groundrules;
thermal behavior;
thermal conductivity;
inter-level dielectric;
practical VLSI applications;
Cu/SiCOH;
Cu/SiLK/sup /spl reg//;
Cu/fluorinated silicate glass;
Cu lines;
Cu vias;
30 to 120 degC;
Cu;
68.
Packaging effect on reliability for Cu/low k structures
机译:
封装对Cu / low k结构可靠性的影响
作者:
Guotao Wang
;
Groothuis
;
S.
;
Ho
;
P.S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
permittivity;
dielectric thin films;
semiconductor device breakdown;
semiconductor device packaging;
semiconductor device reliability;
delamination;
flip-chip devices;
Young's modulus;
soldering;
packaging effect;
reliability;
Cu/low k structures;
packaging process;
driving force;
interfacial delamination;
high thermal load;
solder reflow;
underfilling;
low k chip reliability;
SiLK;
MSQ;
lead-free solder;
substrate material;
die size;
solder materials;
flip-chip packages;
crack driving force;
higher Young's modulus;
Cu;
69.
Process impact on SRAM alpha-particle SEU performance
机译:
工艺对SRAMα粒子SEU性能的影响
作者:
Xu
;
Y.Z.
;
Puchner
;
H.
;
Chatila
;
A.
;
Pohland
;
O.
;
Bruggeman
;
B.
;
Jin
;
B.
;
Radaelli
;
D.
;
Daniel
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
SRAM chips;
semiconductor storage;
alpha-particle effects;
CMOS integrated circuits;
logic circuits;
process impact;
SRAM alpha-particle SEU performance;
18 Mb fast synchronous memory;
process options;
source/drain junction capacitance;
backend capacitor;
storage nodes;
epitaxial substrate;
fraction factorial splits;
P-Latch transistor drive current;
threshold voltages;
0.15 micron;
18 Mbyte;
70.
Reliability of flash memory erasing operation under high tunneling electric fields
机译:
高隧穿电场下闪存擦除操作的可靠性
作者:
Chimenton
;
A.
;
Olivo
;
P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
semiconductor device reliability;
tunnelling;
flash memory erasing operation reliability;
high tunneling electric fields;
erratic erase;
erratic bits;
anode hole injection phenomena;
71.
Statistical analysis of nanocrystal memory reliability
机译:
纳米晶体存储器可靠性的统计分析
作者:
Compagnoni
;
C.M.
;
Ielmini
;
D.
;
Spinelli
;
A.S.
;
Lacaita
;
A.L.
;
Gerardi
;
C.
;
Lombardo
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device reliability;
semiconductor storage;
flash memories;
Monte Carlo methods;
semiconductor device models;
leakage currents;
statistical analysis;
nanocrystal memory reliability;
data retention;
high-field stress;
anomalous tail;
one-few leaky cells;
statistical impact;
flash arrays;
Monte Carlo model;
lateral tunneling;
72.
Stress modeling of Cu/low-k BEoL - application to stress migration
机译:
Cu / low-k BEoL的应力建模-在应力迁移中的应用
作者:
Zhai
;
C.J.
;
Yao
;
H.W.
;
Besser
;
P.R.
;
Marathe
;
A.
;
Blish
;
R.C.
;
II
;
Erb
;
D.
;
Hau-Riege
;
C.
;
Taylor
;
S.
;
Taylor
;
K.O.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
stress analysis;
finite element analysis;
electromigration;
stress modeling;
Cu/low-k BEoL;
stress migration;
stress-induced voiding;
Back End of Line;
mean time to failure;
interlayer dielectric;
stack;
metal line width;
stress migration reliability;
Finite Element Analysis;
via-chain test structure;
process steps;
stress evolution;
process-oriented modeling approach;
Cu;
73.
Stress-induced voiding in multi-level copper/low-k interconnects
机译:
多层铜/低k互连中的应力诱导空隙
作者:
Lim
;
Y.K.
;
Lim
;
Y.H.
;
Seet
;
C.S.
;
Zhang
;
B.C.
;
Chok
;
K.L.
;
See
;
K.H.
;
Lee
;
T.J.
;
Hsia
;
L.C.
;
Pey
;
K.L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
voids (solid);
electromigration;
stress analysis;
failure analysis;
integrated circuit reliability;
sputtered coatings;
diffusion barriers;
permittivity;
dielectric thin films;
integrated circuit testing;
stress-induced voiding;
multi-level copper/low-k interconnects;
vias;
metallization layers;
stress migration test;
thermally induced stress;
accumulated compressive stress;
dual-via interconnect;
150 to 300 C;
Cu;
74.
Structural analysis of integrated circuits using scanning laser ultrasonics
机译:
扫描激光超声对集成电路的结构分析
作者:
Andriamonje
;
G.
;
Pouget
;
V.
;
Ousten
;
Y.
;
Lewis
;
D.
;
Plano
;
B.
;
Danto
;
Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit testing;
integrated circuit reliability;
VLSI;
photoacoustic effect;
ultrasonic materials testing;
measurement by laser beam;
scanning laser ultrasonics;
integrated circuits;
structural analysis;
VLSI circuit;
picosecond ultrasonics technique;
optical nondestructive technique;
ultrasound generation;
ultrasound detection;
passivated circuits;
protection layer;
75.
Transistor sizing for radiation hardening
机译:
晶体管尺寸用于辐射硬化
作者:
Quming Zhou
;
Mohanram
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
radiation hardening (electronics);
SPICE;
numerical analysis;
logic circuits;
transistor sizing;
radiation hardening;
simulate single event upsets;
SPICE;
CMOS gate;
injected charge;
aspect ratio;
design automation tools;
意见反馈
回到顶部
回到首页