掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual SEMI Advanced Semiconductor Manufacturing Conference
Annual SEMI Advanced Semiconductor Manufacturing Conference
召开年:
2020
召开地:
Saratoga Springs(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Q-learning-based route-guidance and vehicle assignment for OHT systems in semiconductor fabs
机译:
基于Q学习的半导体晶圆厂OHT系统的路线指导和车辆分配
作者:
Illhoe Hwang
;
Hyemin Cho
;
Sangpyo Hong
;
Junhui Lee
;
SeokJoong Kim
;
Young Jae Jang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Automated material handling system;
reinforcement learning;
routing;
vehicle assignment;
optimization;
track layout design;
2.
A Framework for Semi-Automated Fault Detection Configuration with Automated Feature Extraction and Limits Setting
机译:
具有自动特征提取和限制设置的半自动故障检测配置框架
作者:
Haoshu Cai
;
Jianshe Feng
;
James Moyne
;
Jimmy Iskandar
;
Michael Armacost
;
Fei Li
;
Jay Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Fault Detection;
Advanced Process Control;
Subject Matter Expert;
Direct Limit Setting;
Univariate Analysis;
Multivariate Analysis;
3.
Tilt angle and dose rate monitoring of low energy ion implantation processes with photomodulated reflectance measurement : AM: Advanced Metrology
机译:
通过光调制反射率测量来监测低能离子注入过程的倾斜角度和剂量率:AM:高级计量学
作者:
A. Pongrácz
;
J. Szívós
;
F. Ujhelyi
;
Zs. Zolnai
;
Ö. Sepsi
;
á. Kun
;
Gy. Nádudvari
;
J. Byrnes
;
Leonard M. Rubin
;
Edward D. Moore
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
4.
Energy Density and Temperature Calibration for FEOL Nanosecond Laser Annealing
机译:
FEOL纳秒激光退火的能量密度和温度校准
作者:
Yasir Sulehria
;
Oleg Gluschenkov
;
Michael Willemann
;
Shaoyin Chen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
5.
Formation and Removal of Tungsten Flake and Metallic Film Defects in Tungsten Contact CMP
机译:
钨接触CMP中钨片和金属膜缺陷的形成与去除
作者:
Bryan Egan
;
Hong Jin Kim
;
Robert Solan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
6.
Creative Use of Vector Scan for Efficient SRAM Inspection
机译:
向量扫描的创新应用,可实现高效的SRAM检查
作者:
Oliver D. Patterson
;
Hsiao-Chi Peng
;
Haokun Hu
;
Chih-Chung Huang
;
Panneer S. Venkatachalam
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Vector Scan;
voltage contrast inspection;
yield ramp;
SRAM;
e-beam inspection;
7.
Selective Metal Deposition To Increase Productivity
机译:
选择性金属沉积以提高生产率
作者:
Robert L. Rhoades
;
Rashid Mavliev
;
Knut Gottfried
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Deposition;
selective;
productivity;
fabrication;
8.
In-line Photoresist Defect Reduction through Failure Mode and Root-Cause Analysis:Topics/categories: EO (Equipment Optimization)/ DR (Defect Reduction)
机译:
通过故障模式和根本原因分析减少在线光致抗蚀剂缺陷:主题/类别:EO(设备优化)/ DR(减少缺陷)
作者:
S. Goswami
;
S. Hall
;
W. Wyko
;
J.T Elson
;
J. Galea
;
J. Kretchmer
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Defect reduction;
photolithography;
spin-coating;
failure mode;
root cause Analysis;
photoresistfilter;
9.
Roughness and nanotopography measurement of a Silicon Wafer using Wave Front Phase Imaging : High speed single image snapshot of entire wafer producing sub nm topography data
机译:
使用波前相位成像技术测量硅晶圆的粗糙度和纳米形貌:整个晶圆的高速单幅图像快照,产生亚纳米形貌数据
作者:
J.M. Trujillo-Sevilla
;
J.M. Ramos-Rodríguez
;
Jan Gaudestad
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
10.
Aluminum Voiding And Delamination Induced BY High Intrinsic Stress
机译:
高内在应力引起铝的空泡和分层
作者:
Cindy Daigle
;
Thomas Moutinho
;
Michelle Beauchemin
;
Christopher Qualey
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
aluminum;
interconnect;
void;
delamination;
stress;
11.
Simulated Covered Wafer Auto Clean (CWAC) to Eliminate First Wafer Effect and Improve Process Capability
机译:
模拟覆盖晶圆自动清洗(CWAC)以消除第一晶圆效应并提高工艺能力
作者:
Kunal Raghuwansi
;
John Leclair
;
Dmitry Zhernokletov
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
12.
Particle Improvement for Low-K Process in Diffusion Furnace
机译:
扩散炉低K工艺的颗粒改进
作者:
Viboth Houy
;
Janice Lam
;
Halima Ali
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
13.
Effect of Sparse or Asymmetric Sampling on the Estimation of Photolithography Overlay Regression Parameters
机译:
稀疏或非对称采样对光刻叠加回归参数估计的影响
作者:
Tim Conway
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Photolithography;
Overlay;
Sample Size;
14.
Integrated Sub-fab Monitoring System Improving DataVisibility and Abatement Uptime : Category: APC, EO, SM, DM
机译:
集成的子晶圆厂监控系统,可改善数据可见性和减少正常运行时间:类别:APC,EO,SM,DM
作者:
Xin Li
;
Scott Veirs
;
Tony Betts
;
John Dalziel
;
Ania Zemlerub
;
Yuee Feng
;
Dinesh Saigal
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Data Monitoring;
Sub-fab;
abatement;
15.
Improved Duplicate Photomask Matching using AIMS™ Metrology for 14nm and smaller
机译:
使用AIMS™计量技术改进了14nm及以下的重复光掩模匹配
作者:
Jean R. Fakhoury
;
Mark Lawliss
;
Tom Faure
;
Amy Zweber
;
Yurong Ying
;
Christopher Magg
;
Bradley Morgenfeld
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
photomask;
AIMS™ metrology;
iso-dense bias;
duplicate photomask matching;
2D/3D mask effects;
16.
Dimensional Control of Line Gratings by Small Angle X-Ray Scattering: Shape and Roughness Extraction
机译:
小角度X射线散射对线光栅的尺寸控制:形状和粗糙度提取
作者:
Jérôme Reche
;
Yoann Blancquaert
;
Guillaume Freychet
;
Patrice Gergaud
;
Maxime Besacier
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
SAXS;
Line Roughness;
PSD;
17.
Novel overlay correction by synchronizing scan speed to intra-die fingerprint on lithography scanner
机译:
通过将扫描速度与光刻扫描仪上的芯片内指纹同步,实现新颖的覆盖校正
作者:
Masakazu Hamasaki
;
Yoshinori Hagio
;
Kentaro Kasa
;
Yoshimitsu Kato
;
Manabu Takakuwa
;
Tsutomu Obata
;
Shunichi Nakao
;
Manabu Miyake
;
Katsuya Kato
;
Yosuke Takahata
;
Akihiro Nakae
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Overlay;
intra-die;
subfield correction;
18.
Overlay improvement for semiconductor manufacturing using Moiré effect
机译:
利用莫尔效应改善半导体制造的覆盖率
作者:
Yoshinori Hagio
;
Kentaro Kasa
;
Sho Kawadahara
;
Manabu Takakuwa
;
Yosuke Takahata
;
Katsuya Kato
;
Akihiro Nakae
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Advanced Metrology;
Overlay;
Lithography;
19.
The Adoption of Machine Learning in the Measurement of Copper Contact on the Main Chip in Advanced 3D NAND Technology Nodes
机译:
先进3D NAND技术节点中机器学习在主芯片铜触点测量中的应用
作者:
Michael Meng
;
Albert Li
;
Andrew Zhang
;
Leeming Tu
;
Haydn Zhou
;
Jian Mi
;
Xi Zou
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Machine Learning (ML);
Optical Critical Dimension Metrology (OCD);
Picosecond ultrasonics;
Metal Contact;
Copper Height;
in-line;
20.
Comparing PVD Titanium Nitride Film Properties and their Effect on Beyond 7 nm EUV Patterning
机译:
比较PVD氮化钛薄膜的性能及其对超过7 nm EUV图案的影响
作者:
Scott DeVries
;
Ekmini Anuja De Silva
;
Donald Canaperi
;
Andrew Simon
;
Abraham Arceo de la Pena
;
Wei Wang
;
Joseph Maniscalco
;
Luciana Meli
;
Brock Mendoza
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
PVD;
RFPVD;
Titanium Nitride;
EUV;
21.
Computational Process Control Compatible Dimensional Metrology Tool: Through-focus Scanning Optical Microscopy
机译:
计算过程控制兼容的尺寸计量工具:全焦点扫描光学显微镜
作者:
Ravi Kiran Attota
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
TSOM;
process control;
three-dimensional shape;
optical microscope;
through-focus;
Computational Process Control;
22.
Effect of In-situ Capping on Phase Change Memory Device Performance : AEPM: Advance Equipment Processes and Materials
机译:
原位封盖对相变存储设备性能的影响:AEPM:先进的设备工艺和材料
作者:
Kevin W. Brew
;
Richard Conti
;
Iqbal Saraf
;
Cheng-wei Cheng
;
Cheng-wei Cheng
;
William Lee
;
Yin Xu
;
Nicole Saulnier
;
Takeshi Masuda
;
Takehito Jimbo
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
phase change memory;
mushroom cell;
in-situ capping;
top electrode;
air-break;
23.
Double Feature Extraction Method for Wafer Map Classification Based on Convolution Neural Network
机译:
基于卷积神经网络的晶圆图分类双特征提取方法
作者:
Yang Yuan-Fu
;
Sun Min
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
ADC;
Deep Learning;
Defect;
Wafer Map;
24.
Advanced Inspection Methodology for the Maximum Extension of Nitride Test Wafer Recycling
机译:
最大限度地扩展氮化物测试晶圆回收的高级检查方法
作者:
Yu-Yuan Ke
;
Kuang-Hsiu Chen
;
Shin-Ru Chen
;
Guan-Wei Huang
;
Wesley Yu
;
Po-Jen Chuang
;
Chun-Li Lin
;
Chih-Wei Huang
;
Jun-Ming Chen
;
Shao-Ju Chang
;
Nachiketa Janardan
;
Tung-Ying Lee
;
Ethan Chen
;
Chao-Yu Cheng
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
25.
Spectroscopic Ellipsometry Imaging for Process Deviation Detection via Machine Learning Approach
机译:
光谱椭偏仪成像,用于通过机器学习方法进行过程偏差检测
作者:
Thomas Alcaire
;
Delphine Le Cunff
;
Victor Gredy
;
Jean-Hervé Tortai
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Spectroscopic ellipsometry;
model-less;
process control;
machine learning;
26.
Estimation of Process Time Delay between Chamber Measurements and Optical Emission Spectroscopy : APC: Advanced Process Control
机译:
估算腔室测量和发射光谱之间的过程时间延迟:APC:高级过程控制
作者:
Taikang Ning
;
CH Huang
;
J. A. Jensen
;
V. Wong
;
H. Chan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
27.
Back-Side Residue Analyses and Reduction in FinFET Middle of Line Wafers
机译:
FinFET生产线晶圆中间的背面残留分析和减少
作者:
Reshmi Mitra
;
Alper Konuk
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
back-side residue;
clean;
wafer flatness;
28.
Oval-Shaped OP-Layer Hole Etching: Shape Deformation, Local Arcing, and Hole Bridging Improvements
机译:
椭圆形OP层孔刻蚀:形状变形,局部电弧和孔桥接改进
作者:
Zusing Yang
;
Yao-Yuan Chang
;
Ming-Tsung Wu
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Oval shape;
hole etching;
shape deformation;
local arcing;
hole bridging;
29.
Method for improving stability of plasma ignition in a multi-cathode magnetron PVD system
机译:
在多阴极磁控PVD系统中提高等离子体点火稳定性的方法
作者:
Jessica Gruss-Gifford
;
Virat Mehta
;
Oscar van der Straten
;
Gabriel Rodriguez
;
Maxwell Lippitt
;
Donald Canaperi
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Physical Vapor Deposition (PVD);
plasma ignition;
semiconductors;
high pressure ignition;
ignition faults;
thin films;
30.
Additive Manufacturing Applications for Quality Improvement and Cost Reduction
机译:
用于提高质量和降低成本的增材制造应用
作者:
William James Blalack
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Additive Manufacturing;
Human error correction;
Prototyping;
Maintenance uniformity;
elastic mechanism;
Fused Deposition Modeling;
31.
Impact of Asymmetric Memory Hole Profile on Silicon Selective Epitaxial Growth in 3D NAND Memory : AEPM: Advanced Equipment Processes and Materials
机译:
不对称存储孔轮廓对3D NAND存储器中硅选择性外延生长的影响:AEPM:先进的设备工艺和材料
作者:
Yao-Yuan Chang
;
Zusing Yang
;
Ming-Tsung Wu
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
silicon selective epitaxy growth;
ex situ pre-epitaxial treatment;
asymmetric Si;
REG shift;
32.
Order Release Methods in Semiconductor Manufacturing: State-of-the-Art in Science and Lessons from Industry
机译:
半导体制造中的订单下达方法:最新科学技术和行业经验教训
作者:
Jacob Lohmer
;
Christian Flechsig
;
Rainer Lasch
;
Konstantin Schmidt
;
Benjamin Zettler
;
Germar Schneider
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
order release;
workload control;
state-of-the-art review;
case study;
factory automation;
33.
SEM Image Denoising and Contour Image Estimation using Deep Learning
机译:
使用深度学习的SEM图像去噪和轮廓图像估计
作者:
Narendra Chaudhary
;
Serap A. Savari
;
Varvara Brackmann
;
Michael Friedrich
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Deep learning;
SEM metrology;
line edge roughness;
denoising;
deep convolutional neural networks;
34.
Study of Process Window Discovery Methodology for 28nm and Beyond Technology Node Process Window Limiting Structures
机译:
28nm及以上工艺节点工艺窗口限制结构的工艺窗口发现方法研究
作者:
Xingdi Zhang
;
Hunglin Chen
;
Yin Long
;
Kai Wang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Process window qualification (PWQ);
Process window discovery (PWD);
Optical proximity correction (OPC);
process window limiting structures (PWLS);
defect detection methodology;
Nano-point function;
defect monitor;
yield enhancement;
35.
A Data Mining Technique for Real Time Process Monitoring with Mass Spectrometry : APC: Advanced Process Control
机译:
质谱实时过程监控的数据挖掘技术:APC:高级过程控制
作者:
Soyeon Park
;
Sungbin Lee
;
Eunsun Hong
;
Bumsik Kim
;
Jihye Yi
;
Gyeom Kim
;
Jinho Kim
;
Jungdae Park
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
36.
Real-Time Tool Health Monitoring and Defect Inspection during Epoxy Dispense Process
机译:
环氧树脂分配过程中的实时工具运行状况监控和缺陷检查
作者:
Chris Edwards
;
Meghana Narayana Swamy
;
Ravi Garg
;
Tim Karaniuk
;
Cody L. Morgan
;
Debashis Panda
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
calibration;
vision;
defect;
inspection;
imaging;
machine learning;
37.
Particle Defect Reduction Through YF3 Coated Remote Plasma Source for High Throughput Dry Cleaning Process
机译:
通过YF3涂层远程等离子源减少颗粒缺陷,用于高通量干洗工艺
作者:
Hyojeong Seo
;
Jeonghye Yang
;
Young Jae Ma
;
Jongwoo Park
;
Mi Kyoung Kim
;
David H. Seo
;
Sung Jin Yoon
;
Sang Jong Park
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
dry clean;
inductive coupling;
YF3 coating;
NF3;
remote plasma;
particle defects;
38.
Excursion Prevention Strategy to Increase Chip Performance by Wafer Intra-Field CD Control Using Photomask Tuning
机译:
通过使用光掩模调谐的晶圆内CD控制来提高芯片性能的防偏移策略
作者:
Ofir Sharoni
;
Yael Sufrin
;
Avi Cohen
;
Thomas Scheruebl
;
Rolf Seltmann
;
Aravind Narayana Samy
;
Thomas Thamm
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
CDC;
Critical Dimension Uniformity (CDU);
Excursion prevention;
Failure probability;
Mask tuning;
Photomask;
Weak point;
Yield;
39.
NextGen Calibration Utility for Tool Setup and Matching in Real-Time Automated Visual Inspection Systems
机译:
NextGen校准实用程序,用于实时自动视觉检查系统中的工具设置和匹配
作者:
Chris Edwards
;
Cody L. Morgan
;
John Rudolph
;
Danniel Slinker
;
Debashis Panda
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
calibration;
vision;
defect;
inspection;
imaging;
machine;
40.
Towards Excursion Detection for Implant Layers based on Virtual Overlay Metrology
机译:
基于虚拟覆盖计量学的种植体层偏移检测
作者:
Leon van Dijk
;
Kedir M. Adal
;
Mathias Chastan
;
Auguste Lam
;
Maialen Larrañaga
;
Richard van Haren
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
overlay;
excursion detection;
process control;
machine learning;
virtual metrology;
41.
Qualifying Inline Xe Plasma FIB - Returning Milled Wafers Back to Production
机译:
合格的在线Xe等离子FIB-将已加工的晶圆恢复生产
作者:
Franz Niedermeier
;
Rolf Kammerer
;
Wolfgang Kipferl
;
Stephan Henneck
;
Haim Pearl
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
42.
Middle of Line (MOL) Process Investigation in Ring Oscillator failure
机译:
环形振荡器故障的中线(MOL)工艺调查
作者:
Victor Chan
;
M. Bergendahl
;
S. Choi
;
A. Gaul
;
J. Strane
;
A. Greene
;
J. Demarest
;
J. Li
;
C. Le
;
S. Teehan
;
D Guo
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
43.
Systematic Missing Pattern Defects Introduced by Topcoat Change at PC Lithography: A Case Study in the Tandem Usage of Inspection Methods
机译:
PC平版印刷中由面漆更换引起的系统性缺失图案缺陷:串联使用检验方法的案例研究
作者:
M. Fields
;
R. Van Roijen
;
M. Lucksinger
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
missing pattern defectivity;
process window centering;
focus exposure matrix measurements;
darkfield inspection;
brightfield inspection;
44.
Dynamic Dispatching for FOUP Cleaning
机译:
动态分派以进行FOUP清洁
作者:
Binay Dash
;
Karthik Iyer
;
John Barker
;
Shiladitya Chakravorty
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
FOUP Cleaning;
Dispatching;
Heuristics;
45.
Epitaxial SiGe seed layer thickness for PFET performance tuning
机译:
用于PFET性能调整的外延SiGe籽晶层厚度
作者:
Dali Shao
;
Kyle Briggs
;
Crystal Kenney
;
Aaron Chadwick
;
Churamani Gaire
;
Judson Holt
;
Hongying Peng
;
Anahit Hovhannisyan
;
James Chen
;
Weihua Tong
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
SiGe Epitaxy;
pFET;
boron;
IEFF;
performance;
46.
Innovative Approach on Dynamic Behavior of LPCVD Nitride Process on Diffusion Furnace : Equipment Optimization/Advanced Process Control/Contamination Free Manufacturing
机译:
扩散炉上LPCVD氮化物工艺动态行为的创新方法:设备优化/先进工艺控制/无污染制造
作者:
Satyajit Shinde
;
Chee Huei Chan
;
Marcus Minchew
;
Lawrence Mbonu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
47.
In-situ Preclean Run Path Impact on Selective Cobalt Cap Deposition and Electromigration
机译:
原位预清洗运行路径对选择性钴盖沉积和电迁移的影响
作者:
Matthew Shoudy
;
Hosadurga Shobha
;
Huai Huang
;
Son Nguyen
;
Chao-Kun Hu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Preclean;
Selective;
Cobalt;
Cap;
Electromigration;
48.
Advanced Process Control (APC) for Selective EPI process in 300mm Fab
机译:
用于300mm晶圆厂中的选择性EPI工艺的高级工艺控制(APC)
作者:
Hongying Peng
;
Jonathan Caruso
;
Dinesh Balasubra Manian
;
Shiladitya Chakravorty
;
Ryan Mickelson
;
Jensen Tay
;
Stephen Cabral
;
Lixin Lu
;
Churamani Gaire
;
Judson Holt
;
Glyn Braithwaite
;
Dali Shao
;
Wei Hua Tong
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Advanced process control (APC);
selective epitaxy;
Si:P;
Real time dispatching (RTD);
Run-to-Run;
300mm Fab;
49.
Characterization of Sub-micron Metal Line Arrays Using Picosecond Ultrasonics
机译:
使用皮秒超声波表征亚微米金属线阵列
作者:
M. Mehendale
;
M. Kotelyanskii
;
R. Mair
;
P. Mukundhan
;
J. Bogdanowicz
;
L. Teugels
;
A.L. Charley
;
P. Kuszewski
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Pattered Nanostructure;
Acoustic Metrology;
Picosecond Ultrasonics;
Nanoelectronic Memory and Logic Devices;
50.
ALD TiN Surface Defect Reduction for 12nm and Beyond Technologies
机译:
减少12nm及以上技术的ALD TiN表面缺陷
作者:
Aditya Kumar
;
Kyle Pratt
;
Olugbenga Famodu
;
Bhavyen Patel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Thin films;
Metallic materials;
Conductive films;
IC manufacturing;
Contamination free manufacturing;
51.
Investigation of Photoluminescence Voltage PL-V Measurement: Correlation to Capacitance Voltage C-V for Si/Dielectric Interface Characterization
机译:
研究光致发光电压PL-V:与用于Si /电介质界面表征的电容电压C-V相关
作者:
Thomas Nassiet
;
Romain Duru
;
Delphine Le-Cunff
;
Arthur Arnaud
;
Jean-Marie Bluet
;
Georges Bremond
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
photoluminescence;
corona;
charging;
C-V;
passivation;
52.
Empirical Relationship between Cycle time Impact and Batching on Furnaces in Semiconductor Foundry
机译:
半导体铸造厂的炉膛循环时间影响与配料之间的经验关系
作者:
Nivedha Rajasekaran
;
Vikram Arjunwadkar
;
Richard Man
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
53.
Development of SiGe Indentation Process Control to Enable Stacked Nanosheet FET Technology
机译:
开发SiGe压痕工艺控制以实现堆叠纳米片FET技术
作者:
Dexin Kong
;
Daniel Schmidt
;
Mary Breton
;
Abraham Arceo de la peña
;
Julien Frougier
;
Andrew Greene
;
Jingyun Zhang
;
Veeraraghavan Basker
;
Nicolas Loubet
;
Ishtiaq Ahsan
;
Aron Cepler
;
Mark Klare
;
Marjorie Cheng
;
Roy Koret
;
Igor Turovets
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
nanosheet;
scatterometry;
x-ray fluorescence;
metrology;
machine learning;
54.
AMHS Capability Assessment Based on Planned Product Mixes
机译:
基于计划产品组合的AMHS能力评估
作者:
Robert Schmaler
;
Christian Hammel
;
Christian Schubert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Semiconductor Manufacturing;
AMHS;
Transport;
Automation;
Simulation;
55.
Trace Data Analytics with Knowledge Distillation : DM: Big Data Management and Mining
机译:
具有知识提炼的跟踪数据分析:DM:大数据管理和挖掘
作者:
Janghwan Lee
;
Wei Xiong
;
Wonhyouk Jang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Fault classification;
Semi-supervised learning;
Variational autoencoder;
display manufacturing;
knowledge distillation;
56.
Repeatability of Nanoimprint Lithography Monitor Through Line Roughness Extraction
机译:
通过线粗糙度提取的纳米压印光刻监测仪的可重复性
作者:
Hubert Teyssedre
;
Florian Delachat
;
Jonas Khan
;
Jérôme Reche
;
Manuela Stirner
;
Peter Ledel
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
NIL;
PSD;
Line roughness;
57.
Nano Ni/Cu-TSVs with an Improved Reliability for 3D-IC Integration Application
机译:
具有增强的3D-IC集成应用可靠性的纳米Ni / Cu-TSV
作者:
M. Murugesan
;
K. Mori
;
T. Kojima
;
H. Hashimoto
;
J.C. Bea
;
T. Fukushima
;
M. Koyanagi
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
58.
Ultra large pitch and depth structures metrology using spectral reflectometry in combination with RCWA based model and TLM Algorithm : AM: Advanced Metrology
机译:
使用光谱反射法结合基于RCWA的模型和TLM算法的超大间距和深度结构计量学:AM:高级计量学
作者:
Annalisa Del Vito
;
Ilya Osherov
;
Adam Michal Urbanowicz
;
Yinon Katz
;
Kobi Barkan
;
Igor Turovets
;
Ronny Haupt
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
large pitch;
OCD;
scatterometry;
RCWA limits;
metrology;
deep trench;
59.
Generative Adversarial Networks for Synthetic Defect Generation in Assembly and Test Manufacturing
机译:
用于组装和测试制造中的综合缺陷生成的生成对抗网络
作者:
Rajhans Singh
;
Ravi Garg
;
Nital S. Patel
;
Martin W. Braun
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
synthetic defect generation;
generative adversarial networks;
defect detection;
60.
Eliminating He as Wafer Cooling Gas in PECVD Wafer Fabrication Equipment
机译:
在PECVD晶圆制造设备中消除He作为晶圆冷却气体
作者:
Gerald Joseph Brady
;
Jon David Sumega
;
Terry Powell
;
Eric Madsen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
helium elimination;
wafer fabrication equipment;
plasma processing;
productivity;
cost reduction;
61.
Characteristics of SiGe Oxidation and Ge Loss according to Ge Content
机译:
锗含量对SiGe氧化和Ge损失的影响
作者:
Meejung Kwon
;
SongI Han
;
Je Hyeok Ryu
;
Chiyoung Lee
;
Yoon Young Lee
;
Byung Hoon Kim
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
dry strip process;
silicon germanium;
oxidation;
germanium loss;
inductively-coupled plasma;
hydrogen plasma;
62.
Using GAN to Improve CNN Performance of Wafer Map Defect Type Classification : Yield Enhancement
机译:
使用GAN改善晶圆图缺陷类型分类的CNN性能:产量提高
作者:
YongSung Ji
;
Jee-Hyong Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Generative Adversarial Networks;
Wafer Defect Map Classification;
Data Augmentation;
Convolutional Neural Networks;
63.
An Artificial Neural Network Based Algorithm For Real Time Dispatching Decisions
机译:
基于人工神经网络的实时调度决策算法
作者:
Shiladitya Chakravorty
;
Nagendra N. Nagarur
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Factory Automation;
Production Scheduling and Dispatching;
Industrial Engineering;
Artificial Neural Networks;
64.
Uniformity and Yield Optimization for a highly diverse Product Mix : Topic: YE
机译:
高度多样化产品组合的均匀性和良率优化:主题:YE
作者:
Raymond Van Roijen
;
Mark Lucksinger
;
Matthew Fields
;
Robert Baiocco
;
Min S. Oh
;
Derek Stoll
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
65.
Laser-based Hair Crack Detection on Wafers
机译:
晶圆上基于激光的头发裂纹检测
作者:
Alexander Fuchs
;
Robin Priewald
;
Franz Pernkopf
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
66.
Advanced wafer backside bevel characterization using a geometry measurement
机译:
使用几何测量进行先进的晶圆背面斜角表征
作者:
André Striegler
;
Florian Flach
;
Thomas Lindner
;
Chiou Shoei Chee
;
Priyank Jain
;
Madhan Kanniyappan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Edge;
Bevel Shape;
Geometry;
Defectivity;
Metrology;
67.
A Systematic Study on BEOL Defectivity Control for Future AI Application
机译:
BEOL缺陷控制在未来AI应用中的系统研究
作者:
James H.-C. Chen
;
Fee li Lie
;
Scott DeVries
;
Carol Boye
;
Sanjay Mehta
;
Thamarai S. Devarajan
;
Mary-Claire Silvestre
;
Wei-Tsu Tseng
;
Massud A Aminpur
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
BEOL;
defectivity control;
AI application;
68.
Machine Learning Assisted New Product Setup
机译:
机器学习辅助的新产品设置
作者:
J. Andres Torres
;
Ivan Kissiov
;
Mohamed Essam
;
Carsten Hartig
;
Richard Gardner
;
Ken Jantzen
;
Stefan Schueler
;
Martin Niehoff
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Yield Methodologies;
machine learning;
69.
Impact of Process Chambers Exhaust on Wafer Defectivity in Wet Clean tools
机译:
工艺腔室排气对湿清洁工具中晶圆缺陷的影响
作者:
Kedari Matam
;
Brown Peethala
;
Charles Taff
;
Zachary Gardner
;
Chung Ju Yang
;
Sankar Muthumanickam
;
Devika Sil
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Exhaust;
Crystallization;
Condensation Exhaust velocity;
Particle performance;
Auto chamber clean (ACC);
Sulfuric peroxide mixture (SPM);
Standard Clean 1 (SC1);
Standard Clean 2 (SC2);
70.
Automated Wafer Defect Classification using a Convolutional Neural Network Augmented with Distributed Computing
机译:
使用卷积神经网络增强分布式计算的自动晶圆缺陷分类
作者:
Hairong Lei
;
Cho Teh
;
Hetong Li
;
Po-Hsuan Lee
;
Wei Fang
会议名称:
《》
|
2020年
关键词:
Wafer defect classification;
Convolutional Neural Network (CNN);
Deep Learning;
Distributed Computing;
71.
Polysilicon Fuse Electrical Voiding Mechanism AP/DFM: Advanced Patterning / Design for Manufacturability
机译:
多晶硅熔丝电控机制AP / DFM:先进的图案/可制造性设计
作者:
Gang Liu
;
Rommel Relos
;
Bohumil Janik
;
Robert Davis
;
Tracy Myers
;
Derryl Allman
;
Jeff Hall
;
Steven Vandeweghe
;
Santosh Menon
;
Ed Flanigan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
72.
Characterization of Doped Oxide Films PSG/BPSG/FSG via DSIMS in Order to Eliminate Nonzero Kilometer Failures from Semiconductors Used in Automotive Industry : Topic/category: Yield Enhancment/Advanced Metrology
机译:
通过DSIMS对掺杂的氧化膜PSG / BPSG / FSG进行表征,以消除汽车行业所用半导体的非零公里故障:主题/类别:增产/先进计量学
作者:
Thanas Budri
;
Jeffrey Klatt
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
BPSG;
PSG;
FSG;
DSIMS and Delamination;
73.
Science of Sealing: Advanced Materials for High-Temperature Applications
机译:
密封科学:高温应用的先进材料
作者:
Thomas S. Reger
;
Gary J. Reichl
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
perfluoroelastomer;
cross-link;
compression set;
thermogravimetric analysis;
74.
Staggering Preventive Maintenance Actions at CMP Using a Dispatching Algorithm
机译:
使用调度算法在CMP上进行惊人的预防性维护行动
作者:
Srikanth Ramakrishnan
;
Shiladitya Chakravorty
;
Jensen Tay
;
David Olsen
;
Peter Zumpano
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
75.
Middle of Line: Challenges and Their Resolution for FinFET Technology
机译:
中线:FinFET技术的挑战及其解决方案
作者:
Shiv Kumar Mishra
;
Erik Geiss
;
Aditya Kumar
;
Arkadiusz Malinowski
;
Gao Wen Zhi
;
Wenhe Lin
;
Bangun Indajang
;
Dustin Slisher
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
CMOS;
FinFET;
Middle of Line (MOL);
Replacement metal Gate (RMG);
Scaling;
Overlay;
Moore’s Law;
76.
Reinforcement Learning for Efficient Scheduling in Complex Semiconductor Equipment
机译:
在复杂半导体设备中进行高效调度的强化学习
作者:
Doug Suerich
;
Terry Young
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
scheduling;
reinforcement learning;
throughput;
optimization;
77.
Environmental improvements through media packaging
机译:
通过媒体包装改善环境
作者:
Kyle Kippes
;
Pat Dunaway
;
John Biggs
;
Ryan Parrot
;
David Young
;
Charlie Hill
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Carbon Footprint;
300mm;
thermoform tray;
Recycle;
Desiccant;
78.
Output Improvement in High Volume Memory Fabs by Reducing Recipe Qualifications
机译:
通过减少配方资格来提高大容量存储器Fab的输出
作者:
Chris Keith
;
Ace Chen
;
Haim Albalak
;
Maryam Anvar
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Industrial Engineering;
Qualification Management;
Flexibility Optimization;
Manufacturing;
Cycle Time;
79.
Practical considerations for high throughput wafer level tests of silicon-photonics integrated devices
机译:
硅光子集成设备的高通量晶圆级测试的实际考虑
作者:
Kate McLean
;
Calvin Ma
;
Subharup Gupta Roy
;
Fen Guan
;
Hanyi Ding
;
Bart Green
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
80.
Bondpad Design Structural vs. Electrical Tradeoffs
机译:
焊盘设计的结构与电气权衡
作者:
Brett Williams
;
Robert Davis
;
Justin Yerger
;
Derryl Allman
;
Bruce Greenwood
;
Troy Ruud
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Bonding;
wirebond;
palladium coated copper;
power FET;
81.
Improving Factory Scheduling with Statistical Analysis of Automatically Calculated Throughput
机译:
通过自动计算吞吐量的统计分析改善工厂调度
作者:
Holland M. Smith
;
Cabe W. Nicksic
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Factory Scheduling;
Smart Manufacturing;
Throughput;
82.
5000+ Wafers of 650 V Highly Reliable GaN HEMTs on Si Substrates: Wafer Breakage and Backside Contamination Results
机译:
Si衬底上的5000+ 650 V高度可靠的GaN HEMT晶圆:晶圆断裂和背面污染的结果
作者:
Saurabh Chowdhury
;
YiFeng Wu
;
Likun Shen
;
Lee McCarthy
;
Primit Parikh
;
David Rhodes
;
Tsutomu Hosoda
;
Yoshiyuki Kotani
;
Kenji Imanishi
;
Yoshimori Asai
;
Tsutsumo Ogino
;
Kenji Kiuchi
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
83.
Analysis of Systematic Weak Point Structures using Design Based Automatic Defect Classification and Defect Review SEM Platform
机译:
基于设计的缺陷自动分类和缺陷审查SEM平台对系统弱点结构的分析
作者:
Teresa A. Esposito
;
Shih-Hui Jen
;
Qian Xie
;
Danda Acharya
;
Julie Lee
;
Felix Levitov
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
84.
In-device high resolution and high throughput optical metrology for process development and monitoring
机译:
用于过程开发和监控的设备内高分辨率和高通量光学计量
作者:
Kaushik Sah
;
Shifang Li
;
Sayantan Das
;
Sandip Halder
;
Andrew Cross
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
High Sampling Metrology;
High Spatial Resolution;
Process Control;
Process Variation;
Metrospection;
85.
Electron Beam Inspection: Voltage Contrast Inspection to Characterize Contact Isolation
机译:
电子束检查:电压对比检查以表征接触隔离
作者:
Richard F. Hafer
;
Andrew Stamper
;
Jerry Hsieh
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
electron beam inspection (EBI);
Voltage Contrast inspection;
SOI;
FINFET;
Contact overlay uniformity;
critical dimension;
86.
PMOS SiGe epitaxial growth process improvement to increase Yield and Throughput
机译:
PMOS SiGe外延生长工艺的改进,以提高产量和产量
作者:
Vikas K Kaushal
;
Rakesh C Mahadevapuram
;
Guozhen Yue
;
Arvind Raviswaran
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Silicon-Germanium epitaxy;
With-in-wafer uniformity;
defects;
yield.;
87.
A high throughput PMOS Source-Drain process optimized within FINFET architecture for high volume chip manufacturing
机译:
在FINFET架构内针对高产量芯片制造进行了优化的高通量PMOS源漏工艺
作者:
Rakesh C Mahadevapuram
;
Vikas K Kaushal
;
Arvind Raviswaran
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2020年
关键词:
Abnormal growth;
B concentration;
SiGe epitaxy;
意见反馈
回到顶部
回到首页