掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE Symposium on Low-Power and High-Speed Chips
IEEE Symposium on Low-Power and High-Speed Chips
召开年:
2015
召开地:
Yokohama(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A low power DRAM refresh control scheme for 3D memory cube
机译:
用于3D内存立方体的低功耗DRAM刷新控制方案
作者:
Ying Wang
;
Yinhe Han
;
Huawei Li
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
3D Stacked DRAM;
Retention Time and Refresh;
2.
Kernel data race detection using debug register in Linux
机译:
使用Linux中使用调试寄存器的内核数据竞争检测
作者:
Yunyun Jiang
;
Yi Yang
;
Tian Xiao
;
Sheng Tianwei
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
Linux kernel;
data race detection;
debug register;
sample;
synchronization;
3.
Establishing a standard interface between multi-manycore and software tools - SHIM
机译:
建立多功能和软件工具之间的标准接口 - 垫片
作者:
Gondo Masaki
;
Arakawa Fumio
;
Edahiro Masato
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
4.
A Perpetuum Mobile 32bit CPU with 13.4pJ/cycle, 0.14#x00B5;A sleep current using Reverse Body Bias Assisted 65nm SOTB CMOS technology
机译:
具有13.4pj /循环的Perpetuum Mobile 32bit CPU,使用反向体偏置的0.14μA睡眠电流偏压辅助65nmsotb CMOS技术
作者:
Ishibashi Koichiro
;
Sugii Nobuyuki
;
Usami Kimiyoshi
;
Amano Hideharu
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
CMOS;
MCU;
SOI;
SOTB;
5.
A fine grained power management supported by just-in-time compiler
机译:
立即编译器支持的精细粒度电源管理
作者:
Wada Motoki
;
Sato Mikiko
;
Namiki Mitaro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
JIT compiler;
dynamic analysis;
power gating;
6.
A task-level pipelined many-SIMD augmented reality processor with congestion-aware network-on-chip scheduler
机译:
一个任务级流水线多SIMD增强现实处理器,具有拥塞感知网络上的网络调度程序
作者:
Kim Gyeonghoon
;
Seongwook Park
;
Kyuho Lee
;
Kim Youchang
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
2D-mesh NoC;
augmented reality;
dynamic resource management;
heterogeneous multicore;
network-on-chip scheduler;
object recognition;
7.
A low power NoC router using the marching memory through type
机译:
通过类型使用前进内存的低功耗NOC路由器
作者:
Yasudo Ryota
;
Kagami Takahiro
;
Amano Hideharu
;
Nakase Yasunobu
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
8.
Aggressive use of Deep Sleep mode in low power embedded systems
机译:
在低功耗嵌入式系统中积极地使用深度睡眠模式
作者:
Segawa Junamp
;
#039
;
ichi
;
Shirota Yusuke
;
Fujisaki Koichi
;
Kimura Tetsuro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
关键词:
OS;
embedded system;
low power;
non-volatile device and Deep Sleep mode;
9.
Front matters
机译:
前面问题
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
10.
Keynote invited speaker's biography
机译:
主题演讲和邀请扬声器的传记
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
11.
Final program
机译:
最后计划
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
12.
Special session speaker's biography
机译:
特别会议扬声器的传记
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
13.
Panel discussions: Toward wearable computing era, how COOL chip architecture and tools will evolve?
机译:
小组讨论:朝着可穿戴的计算时代,芯片架构和工具如何发展?
作者:
Arakawa Fumio
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
14.
Message from the program committee chairs
机译:
从计划委员会椅子的消息
作者:
Ikeda Makoto
;
Arakawa Fumio
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
15.
Message from the organizing committee chair
机译:
来自组委会主席的信息
作者:
Kobayashi Hiroaki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
16.
List of the committees members
机译:
委员会成员名单
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2014年
17.
FlexGrip#x2122;: A small and high-performance programmable hardware for highly sequential application
机译:
FlexGrip&#x2122 ;:一个用于高度顺序应用的小型和高性能可编程硬件
作者:
Yoshikawa Takashi
;
Hyuga Fumihiko
;
Tokunaga Masayuki
;
Yamada Yutaka
;
Asano Shigehiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
CABAC;
FlexGrip;
Highly Sequential Application;
Programmable Hardware;
18.
Final program
机译:
最后计划
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
19.
Multi-Gbps 60-GHz single-carrier system using a low-power coherent detection technique
机译:
使用低功耗相干检测技术的多GBPS 60-GHz单载体系统
作者:
Nakano Daiju
;
Kohda Yasuteru
;
Takano Kohji
;
Yamane Toshiyuki
;
Ohba Nobuyuki
;
Katayama Yasunao
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
coherent detection;
low-power;
millimeter wave;
single carrier;
time-domain equalizer;
20.
Front matter
机译:
正面问题
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
21.
Special session 1
机译:
特别会议1
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
22.
Front cover
机译:
封面
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
23.
SLD-1(Silent Large Datapath): A ultra low power reconfigurable accelerator
机译:
SLD-1(静音大型数据路径):超低功率可重新配置加速器
作者:
Ozaki Nobuaki
;
Usami Kimiyoshi
;
Amano Hideharu
;
Namiki Mitaro
;
Nakamura Hiroshi
;
Kondo Masaaki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
65nmCMOS;
Low Power;
Reconfigurable System;
24.
Special session speaker's biography
机译:
特别会议扬声器的传记
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
25.
Session V: High-performance chip-to-chip interconnect
机译:
会话V:高性能芯片到芯片互连
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
26.
Message from the organizing committee chair
机译:
来自组委会主席的信息
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
27.
Session VIII: Keynote presentation 5
机译:
会议VIII:主题演讲5
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
28.
Keynote invited speaker's biography
机译:
主题演讲和邀请扬声器的传记
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
29.
Session VI: Keynote presentation 4
机译:
会话VI:主题演讲4
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
30.
A low-power sync processor with a floating-point timer and universal edge tracer for 3DTV active shutter glasses
机译:
带有浮点定时器和通用边缘示踪器的低功耗SYNC处理器,用于3DTV主动快门眼镜
作者:
Park Daejin
;
Kim Tag Gon
;
Kim Changmin
;
Kwak Sungho
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
fractional order circuits;
independently clocked system;
low power design;
stereoscopic vision;
systems;
31.
Session VII: Low-power designs
机译:
会议VII:低功耗设计
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
32.
A new match line sensing technique in Content Addressable Memory
机译:
内容可寻址存储器中的一种新的匹配线路传感技术
作者:
Tan Xiao-Liang
;
Do Anh-Tuan
;
Chen Shou-Shun
;
Yeo Kiat-Seng
;
Kong Zhi-Hui
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
CMOS memory;
Low-energy;
VLSI;
33.
Session IV: Reconfigurable processors
机译:
会议IV:可重新配置的处理器
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
34.
Title page
机译:
封面
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
35.
Poster preface
机译:
海报序言
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
36.
An 80 Gbps dependable multicore communication SoC with PCI express I/F and intelligent interrupt controller
机译:
具有PCI Express I / F和智能中断控制器的80 Gbps可靠的多核通信SoC
作者:
Otani Sugako
;
Kondo Hiroyuki
;
Nonomura Itaru
;
Ikeya Atsuyuki
;
Uemura Minoru
;
Asahina Katsushi
;
Arimoto Kazutami
;
Miura Shinichi
;
Hanawa Toshihiro
;
Boku Taisuke
;
Sato Mitsuhisa
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
PCI Express;
dependability;
interrupt control unit;
multicore;
multiprocessor;
network;
37.
A 7uW deep-sleep, ultra low-power WLAN baseband LSI for mobile applications
机译:
7UW深睡眠,超低功耗WLAN基带LSI用于移动应用
作者:
Taki Daisuke
;
Shiozawa Tatsuo
;
Ito Kuniaki
;
Shiba Youichiro
;
Horisaki Kouji
;
Kajihara Hirotsugu
;
Yamagishi Toshiyuki
;
Sekiya Masahiro
;
Yamaga Akira
;
Fujita Tetsuya
;
Hara Hiroyuki
;
Kuwahara Masanori
;
Fujisawa Toshio
;
Unekawa Yasuo
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
IEEE802.11n;
baseband LSI;
low power;
mobile application;
on-chip regulator;
power gating;
wireless LAN;
38.
Panel discussions: Impact on society by fusion and harmony of mobile devices, servers, and networks — Their direction of evolutions and optimal roles
机译:
小组讨论:通过移动设备,服务器和网络的融合和和谐对社会的影响 - 他们的演变方向和最佳角色
作者:
Motomura Masato
;
Aoki Takafumi
;
Awashima Toru
;
Baji Toru
;
Ishikawa Masaaki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
39.
Session II: Poster short speeches
机译:
第三次会议:海报短期发言
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
40.
List of the committees members
机译:
委员会名单
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
41.
Contents
机译:
内容
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
42.
Loop-Directed Mothballing: Power-gating execution units using fast analysis of inner loops
机译:
循环定向的MOTHBALLING:使用快速分析内部环路的电源门控执行单元
作者:
Court C. A.
;
Kelly P. H. J.
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
EDP;
Microprocessor;
execution unit;
power-gating;
simulation;
static power;
43.
A multimodal wireless baseband core using a coarse-grained dynamic reconfigurable processor
机译:
一种使用粗粒粒度动态可重构处理器的多模式无线基带核心
作者:
Yamada Hideki
;
Yamagishi Toshiyuki
;
Suzuki Tomoya
;
Ito Kuniaki
;
Horisaki Koji
;
Aa Tom Vander
;
Fujisawa Toshio
;
Van der Perre Liesbet
;
Unekawa Yasuo
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
802.11a;
802.16e;
SDR;
dynamic reconfigurable logic;
runtime switching;
software optimization;
44.
Session X: Panel discussions
机译:
会话X:小组讨论
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
45.
Message from the program committee chairs
机译:
来自计划委员会椅子的信息
作者:
Ikeda Makoto
;
Arakawa Fumio
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
46.
Keynote invited speaker's biography
机译:
主题演讲和邀请扬声器的传记
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
47.
Message from the advisory committee chair
机译:
来自咨询委员会主席的信息
作者:
Nakamura Tadao
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
48.
Dependable Responsive Multithreaded Processor for distributed real-time systems
机译:
用于分布式实时系统的可靠响应多线程处理器
作者:
Suito Kazutoshi
;
Fujii Kei
;
Matsutani Hiroki
;
Yamasaki Nobuyuki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
49.
Panel discussions
机译:
小组讨论
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
50.
Front matter
机译:
正面问题
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
51.
A 5.184Gbps/ch through-chip interface and automated place-and-route design methodology for 3-D integration of 45nm CMOS processors
机译:
5.184Gbps / CH过芯片接口和自动化的地方和路线设计方法,用于3-D集成45nm CMOS处理器
作者:
Shimazaki Yasuhisa
;
Miura Noriyuki
;
Kuroda Tadahiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
52.
A media-oriented vector architectural extension with a high bandwidth cache system
机译:
具有高带宽缓存系统的媒体导向的矢量架构扩展
作者:
Ye Gao
;
Shoji Naoki
;
Egawa Ryusuke
;
Takizawa Hiroyuki
;
Kobayashi Hiroaki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
53.
Message from the organizing committee chair
机译:
来自组委会主席的信息
作者:
Kobayashi Hiroaki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
54.
Cover
机译:
覆盖
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
55.
Final program
机译:
最后计划
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
56.
Poster preface
机译:
海报序言
作者:
Hashimoto Koji
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
57.
Cool System scalable 3-D stacked heterogeneous Multi-Core / Multi-Chip architecture for ultra low-power digital TV applications
机译:
用于超低功耗数字电视应用的酷系统可扩展3-D堆叠的异构多核/多芯片架构
作者:
Matsumoto Yukoh
;
Morimoto Tomoyuki
;
Hagimoto Michiya
;
Uchida Hiroyuki
;
Hikichi Nobuyuki
;
Imura Fumito
;
Nakagawa Hiroshi
;
Aoyagi Masahiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
58.
Special session speaker's biography
机译:
特别会议扬声器的传记
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
59.
List of the committees members
机译:
委员会名单
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
60.
Dual-stage hardware architecture of on-line clustering with high-throughput parallel divider for low-power signal processing
机译:
具有高通量并联分频器的双级硬件架构,用于低功耗信号处理
作者:
Chen Tse-Wei
;
Ikeda Makoto
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
61.
An area-efficient, standard-cell based on-chip NMOS and PMOS performance monitor for process variability compensation
机译:
基于面积的标准单元的片上NMOS和PMOS性能监视器,用于工艺变化补偿
作者:
Yamagishi Toshiyuki
;
Shiozawa Tatsuo
;
Horisaki Koji
;
Hara Hiroyuki
;
Unekawa Yasuo
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
62.
Gate-level process variation offset technique by using dual voltage supplies to achieve near-threshold energy efficient operation
机译:
门级处理变化偏移技术通过使用双电压耗材实现近阈值节能操作
作者:
Devlin Benjamin
;
Ikeda Makoto
;
Asada Kunihiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
63.
Supporting organization
机译:
支持组织
作者:
(missing)
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
64.
Session III: Keynote presentation 3
机译:
第三次:主题演讲3
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
65.
Message from the advisory committee chair
机译:
来自咨询委员会主席的信息
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
66.
Message from the program committee chairs
机译:
来自计划委员会椅子的信息
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
67.
Session IX: Wireless baseband architectures
机译:
会话IX:无线基带架构
作者:
{missing}
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
68.
COOL interconnect low power interconnection technology for scalable 3D LSI design
机译:
可扩展3D LSI设计的冷却互连低功率互连技术
作者:
Chacin Marco
;
Uchida Hiroyuki
;
Hagimoto Michiya
;
Miyazaki Takashi
;
Ohkawa Takeshi
;
Ikeno Rimon
;
Matsumoto Yukoh
;
Imura Fumito
;
Suzuki Motohiro
;
Kikuchi Katsuya
;
Nakagawa Hiroshi
;
Aoyagi Masahiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2011年
关键词:
3D staked LSI;
distributed processing;
heterogeneous multi core;
inter chip connection;
69.
Trade-off analysis of fine-grained power gating methods for functional units in a CPU
机译:
CPU中功能单位微粒电功率门控方法的权衡分析
作者:
Weihan Wang
;
Ohta Yuya
;
Ishii Yoshifumi
;
Usami Kimiyoshi
;
Amano Hideharu
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
70.
A simultaneous multithreading heterogeneous object recognition processor with machine learning based dynamic resource management
机译:
基于机器学习的动态资源管理的同时多线程异构对象识别处理器
作者:
Jinwook Oh
;
Gyeonghoon Kim
;
Junyoung Park
;
Injoon Hong
;
Seungjin Lee
;
Joo-Young Kim
;
Hoi-Jun Yoo
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2012年
71.
HW/SW approaches to accelerate GRAPES in an FU array
机译:
HW / SW方法加速FU阵列的葡萄
作者:
Wang Wei
;
Yao Jun
;
Zhang Youhui
;
Xue Wei
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
72.
RXv2 processor core for low-power microcontrollers
机译:
用于低功耗微控制器的RXV2处理器核心
作者:
Otani Sugako
;
Ishikawa Naoshi
;
Kondo Hiroyuki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
dual-issue core;
low-power;
microcontrollcr;
mstruction fetch umt;
73.
A flexible insertion policy for dynamic cache resizing mechanisms
机译:
动态缓存调整机制的灵活插入策略
作者:
Sato Masayuki
;
Tobo Yusuke
;
Egawa Ryusuke
;
Takizawa Hiroyuki
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
cache memory;
dynamic cache resizing;
energy consumption;
replacement policy;
74.
Hardware support for resource partitioning in real-time embedded systems
机译:
实时嵌入式系统中的资源分区硬件支持
作者:
Honmura Tetsuro
;
Kondoh Yuki
;
Yamada Tetsuya
;
Takada Masashi
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
ExVisor/XVS;
PAM;
Real-time;
multi-core;
resource partitioning;
resource protection;
75.
Automatic parallelization, performance predictability and power control for mobile-applications
机译:
自动并行化,性能可预测性和移动应用的功率控制
作者:
Hillenbrand Dominic
;
Hayashi Akihiro
;
Yamamoto Hideo
;
Kimura Keiji
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
76.
Architecture level TSV count minimization methodology for 3D tree-based FPGA
机译:
基于3D树的FPGA的体系结构级TSV数最小化方法
作者:
Pangracious Vinod
;
Mehrez Habib
;
Marakchi Zied
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
77.
Dynamic power on/off method for 3D NoCs with wireless inductive-coupling links
机译:
具有无线电感耦合链路的3D NOC的动态电源开/关法
作者:
Zhang Hao
;
Matsutani Hiroki
;
Koibuchi Michihiro
;
Amano Hideharu
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
Wireless NoC and On/Off link;
78.
Parallelization of automotive engine control software on embedded multi-core processor using OSCAR compiler
机译:
使用OSCAR编译器的嵌入式多核处理器上的汽车发动机控制软件并行化
作者:
Kanehagi Yohei
;
Umeda Dan
;
Hayashi Akihiro
;
Kimura Keiji
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
automatic parallelization;
automobile;
embedded system;
multi-core processor;
79.
A scalable 3D heterogeneous multi-core processor with inductive-coupling thruchip interface
机译:
具有电感耦合的可伸缩的3D异构多核处理器Thruchip接口
作者:
Miura Noriyuki
;
Koizumi Yusuke
;
Sasaki Eiichi
;
Take Yasuhiro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
80.
Power efficient realtime super resolution by virtual pipeline technique on a server with manycore coprocessors
机译:
通过虚拟管道技术在带有MDERCORE协处理器的服务器上的虚拟管道技术功能高效实时超分辨率
作者:
Ishizaka K.
;
Miyamoto T.
;
Akimoto S.
;
Iketani A.
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
Coprocessor;
Manycore;
Power Efficiency;
Super Resolution;
81.
A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling
机译:
具有内容感知细粒度任务调度的多粒度并行对象识别处理器
作者:
Park Junyoung
;
Hong Injoon
;
Kim Gyeonghoon
;
Kim Y ouchang
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
computer architecture;
multicore processor;
object recognition;
task scheduling;
82.
Processor with 4.9-#x03BC;s break-even time in power gating using crystalline In-Ga-Zn-oxide transistor
机译:
具有4.9的处理器 - μ使用晶体In-Zn-Xnide晶体管的功率门控的断裂时间
作者:
Kobayashi Hidetomo
;
Kato Kiyoshi
;
Ohmaru Takuro
;
Yoneda Seiichi
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
关键词:
CAAC IGZO;
break even time;
crystalline IGZO;
normally off computing and shadow memory;
power gating;
83.
Panel discussions the next step in processor evolution
机译:
小组讨论处理器演变的下一步
作者:
Masubuchi Yoshio
;
Gyselinckx Bert
;
McCool Michael
;
Momose Shintaro
会议名称:
《IEEE Symposium on Low-Power and High-Speed Chips》
|
2013年
意见反馈
回到顶部
回到首页