掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on metrology, inspection, and process control for microlithography
Conference on metrology, inspection, and process control for microlithography
召开年:
2014
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Innovative fast technique for overlay accuracy estimation using archer self calibration (ASC)
机译:
使用弓箭手自校准(ASC)进行重叠精度估算的创新快速技术
作者:
Simon C. C. Hsu
;
Charlie Chen
;
Chun Chi Yu
;
Yuan Chi Pai
;
Eran Amit
;
Lipkong Yap
;
Tal Itzkovich
;
David Tien
;
Eros Huang
;
Kelly T. L. Kuo
;
Nuriel Amir
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay;
Accuracy;
Metrology;
Metrology settings;
TMU;
Target;
Qmerit;
ASC;
2.
Real cell overlay measurement through design based metrology
机译:
通过基于设计的计量学进行实际细胞覆盖测量
作者:
Gyun Yoo
;
Jungchan Kim
;
Chanha Park
;
Taehyeong Lee
;
Sunkeun Ji
;
Gyoyeon Jo
;
Hyunjo Yang
;
Donggyu Yim
;
Masahiro Yamamoto
;
Kotaro Maruyama
;
Byungjun Park
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay;
Design based metrology;
3.
Integrated Production Overlay Field-by-Field Control for Leading Edge Technology Nodes
机译:
前沿技术节点的集成生产覆盖逐场控制
作者:
Woong Jae Chung
;
John Tristan
;
Karsten Gutjahr
;
Lokesh Subramany
;
Chen Li
;
Yulei Sun
;
Mark Yelverton
;
Young Ki Kim
;
Jeong Soo Kim
;
Chin-Chou Kevin Huang
;
William Pierson
;
Ramkumar Karur-Shanmugam
;
Brent Riggs
;
Sven Jug
;
John C. Robinson
;
Lipkong YapVidya Ramanathan
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay;
CPE;
Residual;
Scanner;
Overlay Control;
Field-by-Field Correction;
Correction per Exposure;
Baseline control;
4.
Mask Contribution to Intra-Field Wafer Overlay
机译:
掩模对场内晶圆覆盖的贡献
作者:
William Chou
;
Hsien-Min Chang
;
Chao Yin Chen
;
M. Wagner
;
K.-D. Roeth
;
S. Czerkas
;
M.Ferber
;
M. Daneshpanah
;
F. Laske
;
R. Chiang
;
S. Klein
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Mask registration;
pattern placement;
intra-field wafer overlay;
multi-patterning lithography;
optical lithography extension;
overlay process control;
5.
Innovative Techniques for Improving Overlay Accuracy by using DCM (device correlated metrology) targets as reference
机译:
通过使用DCM(设备相关计量)目标作为参考来提高叠加精度的创新技术
作者:
Wei Jhe Tzai
;
Simon C. C. Hsu
;
Howard Chen
;
Charlie Chen
;
Yuan Chi Pai
;
Chun-Chi Yu
;
Chia Ching Lin
;
Tal Itzkovich
;
Lipkong Yap
;
Eran Amit
;
David Tien
;
Eros Huang
;
Kelly T. L.Kuo
;
Nuriel Amir
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
6.
Overlay Improvements Using a Real Time Machine Learning Algorithm
机译:
使用实时机器学习算法的覆盖改进
作者:
Emil Schmitt-Weaver
;
Michael Kubis
;
Wolfgang Henke
;
Daan Slotboom
;
Tom Hoogenboom
;
Jan Mulkens
;
Martyn Coogans
;
Peter ten Berge
;
Dick Verkleij
;
Frank van de Mast
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
machine learning;
overlay;
real time;
process control;
inline metrology;
systematic error;
random error;
7.
Advanced CD-SEM metrology for pattern roughness and local placement of lamellar DSA
机译:
先进的CD-SEM计量技术,可用于层状DSA的图案粗糙度和局部放置
作者:
Takeshi Kato
;
Akiyuki Sugiyama
;
Kazuhiro Ueda
;
Hiroshi Yoshida
;
Shinji Miyazaki
;
Tomihiko Tsutsumi
;
JiHoon Kim
;
Yi Cao
;
Guanyang Lin
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
DSA;
BCP;
LER;
FWR;
Fingerprint;
Edge roughness;
placement error;
lamellar;
PS-b-PMMA;
8.
Evaluation of Lens Heating Effect in High Transmission NTD Processes at the 20nm Technology Node
机译:
在20nm技术节点上高透射NTD工艺中透镜加热效果的评估
作者:
Bumhwan Jeon
;
Sam Iee
;
Lokesh Subramany
;
Chen Li
;
Shyam Pal
;
Sheldon Meyers
;
Sohan Mehta
;
Yayi Wei
;
David R Cho
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
NTD;
SMO;
Zernike;
Pupil;
wave front manipulation. CD (Critical Dimension);
9.
Automatically High Accurate and Efficient Photomask Defects Management Solution for Advanced Lithography Manufacture
机译:
用于先进光刻制造的自动高精度高效光掩模缺陷管理解决方案
作者:
Jun Zhu
;
Lijun Chen
;
Lantao Ma
;
Dejian Li
;
Wei Jiang
;
Lihong Pan
;
Huiting Shen
;
Hongmin Jia
;
Chingyun Hsiang
;
Guojie Cheng
;
Li Ling
;
Shijie Chen
;
Jun Wang
;
Wenkui Liao
;
Gary Zhang
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Haze;
defect classification;
ESD;
photo mask;
10.
Design of the phase-shifting algorithm for flatness measurement of a mask blank glass
机译:
掩模空白玻璃平整度测量的相移算法设计
作者:
Yangjin Kim
;
Kenichi Hibino
;
Naohiko Sugita
;
Mamoru Mitsuishi
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Interferometry;
mask glass;
flatness;
phase-shifting algorithm;
phase shift error;
coupling;
11.
Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithography
机译:
0.33NA EUV光刻技术在20 nm左右的抗蚀剂图案的精确CD-SEM计量
作者:
Nobuhiro Okai
;
Erin Lavigne
;
Keiichiro Hitomi
;
Scott Halle
;
Shoji Hotta
;
Shunsuke Koshihara
;
Karen Petrillo
;
Atsuko Yamaguchi
;
Junichi Tanaka
;
Todd Bailey
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
CD-SEM;
metrology;
EUV;
resist;
shrinkage;
precision;
process variability;
shadowing effect;
12.
Lithography Focus/Exposure Control and Corrections to Improve CDU at Post Etch Step
机译:
光刻重点/曝光控制和校正,以提高蚀刻后步骤的CDU
作者:
Young Ki Kim
;
Mark Yelverton
;
John Tristan
;
Joungchel Lee
;
Karsten Gutjahr
;
Ching-Hsiang Hsu
;
Hong Wei
;
Lester Wang
;
Chen Li
;
Lokesh Subramany
;
Woong Jae Chung
;
Jeong Soo Kim
;
Vidya Ramanathan
;
LipKong Yap
;
Jie Gao
;
Ram Karur-Shanmugam
;
Anna Golotsvan
;
Pedro Herrera
;
Kevin Huang
;
Bill Pierson
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
GLOBALFOUNDRIES solution;
focus/exposure;
factory automation;
CDU;
13.
The Metal Ions from Track Filter and Its Impact to Product Yield in IC Manufacturing
机译:
跟踪滤波器中的金属离子及其对IC制造中产品良率的影响
作者:
Tung-Chang Kuo
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
immersion ArF resist;
Metal ion;
Chemical reaction;
Micro-bridge defect;
CIP(Continue Improvement Project);
14.
In cleanroom, sub-ppb real-time monitoring of Volatile Organic Compounds using Proton-Transfer Reaction / Time of Flight / Mass Spectrometry
机译:
在洁净室中,使用质子转移反应/飞行时间/质谱法实时监测亚ppb挥发性有机化合物
作者:
Nathalie HAYECK
;
Philippe MAILLOT
;
Thomas VITRANI
;
Nicolas PIC
;
Henri WORTHAM
;
Sasho GLIGOROVSKI
;
Brice TEMIME-ROUSSEL
;
Aurelie MIZZI
;
Irene POULET
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
PTR-TOF-MS;
ATD-GC-MS;
VOC;
photolithography;
on-line monitoring;
PGMEA;
TMS;
15.
Investigation of a methodology for in-film defects detection on film coated blank wafers
机译:
在涂膜的空白晶片上进行膜内缺陷检测的方法学研究
作者:
Akiko Kiyotomi
;
Arnaud Dauendorffer
;
Satoru Shimura
;
Shinobu Miyazaki
;
Takemasa Miyagi
;
Shigeru Ota
;
Koji Haneda
;
Oksen Baris
;
Junwei Wei
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
in-film defects;
defect detection;
lithography;
16.
Across wafer CD uniformity optimization by wafer film scheme at double patterning Lithography process
机译:
在双图案光刻工艺中通过晶圆膜方案优化整个晶圆CD的均匀性
作者:
Hsiao-Chiang Lin
;
Yang-Liang Li
;
Shiuan-Chuan Wang
;
Chien-Hung Liu
;
Zih-Song Wang
;
Jhung-Yuin Hsuh
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Double Patterning lithography;
CD uniformity;
wafer warpage;
PEB;
17.
Defect analysis methodology for contact hole grapho epitaxy DSA
机译:
接触孔石墨外延DSA的缺陷分析方法
作者:
Ryota Harukawa
;
Masami Aoki
;
Andrew Cross
;
Venkat Nagaswami
;
Shinichiro Kawakami
;
Takashi Yamauchi
;
Tadatoshi Tomita
;
Seiji Nagahara
;
Makoto Muramatsu
;
Takahiro Kitano
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
directed self-assembly;
grapho-epitaxy;
defectivity;
inspection;
18.
Defect Analysis and Alignment Quantification of Line Arrays Prepared by Directed Self-assembly of a Block Copolymer
机译:
嵌段共聚物定向自组装制备线阵列的缺陷分析和比对定量
作者:
C. Simao
;
D. Tuchapsky
;
W. Khunsin
;
A. Amann
;
M. A. Morris
;
C. M. Sotomayor Torres
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
19.
New robust edge detection methodology for qualifying DSA characteristics by using CD SEM
机译:
通过使用CD SEM鉴定DSA特性的新型鲁棒边缘检测方法
作者:
Satoru Yamaguchi
;
Kazuhiro Ueda
;
Takeshi Kato
;
Norio Hasegawa
;
Takashi Yamauchi
;
Shinichiro Kawakami
;
Makoto Muramatsu
;
Seiji Nagahara
;
Takahiro Kitano
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
CD Metrology;
DSA hole patterns;
placement error;
20.
An analytical method for the measurement of trace level acidic and basic AMC using liquid-free sample traps
机译:
使用无液体样品阱的痕量酸性和碱性AMC测定方法
作者:
Tyler M. Moulton
;
Emily C. Zaloga
;
Katherine M. Chase
;
Juergen M. Lobert
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
AMC;
acid;
base;
impinger;
dry trap;
yield improvement;
sampling;
airborne molecular contamination;
ammonia;
SO_2;
193 nm;
photo lithography;
scanner;
track;
tool;
immersion;
analytical;
21.
A method for the combined measurement of volatile and condensable organic AMC in semiconductor applications
机译:
半导体应用中挥发性和可冷凝有机AMC的组合测量方法
作者:
Charles M Miller
;
Emily C. Zaloga
;
Juergen M Lobert
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
AMC;
Volatile organics;
condensable organics;
EUV;
Tenax;
artifacts;
carbon deposition;
photo lithography;
exposure tool;
22.
Handling, clamping and alignment evaluation for Multi-beam technology on Matrix1.1 platform
机译:
Matrix1.1平台上多光束技术的处理,夹紧和对准评估
作者:
Ludovic Lattard
;
Jonathan Pradelles
;
Niels Vergeer
;
Erwin Slot
;
Laurent Pain
;
Erik de Jong
;
Gianpaolo Torriani
;
Charles Pieczulewski
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Multi-beam lithography;
MAPPER;
Alignment;
stage stability;
precision;
handling;
Matrix;
23.
Focus control budget analysis for critical layers of flash devices
机译:
闪存设备关键层的焦点控制预算分析
作者:
Jong Hoon Jang
;
Tony Park
;
Kyeong Dong Park
;
Jong Hyun Hwang
;
Jin Phil Choi
;
Young Seog Kang
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Focus budget;
DOF;
CDU;
correction per exposure;
24.
Macroscopic exploration and visual quality inspection of thin film deposit
机译:
薄膜沉积物的宏观探索和视觉质量检查
作者:
Simon-Frederic Desage
;
Gilles Pitard
;
Hugues Favreliere
;
Maurice Pillet
;
Olivier Dellea
;
Pascal Fugier
;
Philippe Coronel
;
Emmanuel Oilier
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Surface metrology;
Quality Inspection;
Microanotechnologies;
thin films;
Colloidal lithography;
BooStream;
Computer Vision;
Monolayer colloidal crystals, 2D inverse opals;
25.
Wafer Surface Pre-treatment Study for Micro Bubble free of Lithography Process
机译:
无光刻工艺的微气泡晶圆表面预处理研究
作者:
Xiaosong Yang
;
XiaoZheng Zhu
;
Spencer_Cai
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Photo resist;
micro bubble;
void;
concave;
RRC;
thinner;
pre-treatment;
Silanol;
SAS (Self-Aligned Source);
FinFET;
tri-gate;
PECVD (Plasma Enhanced Chemical Vapor Deposition);
26.
Run Time Scanner Data Analysis for HVM Lithography Process Monitoring and Stability Control
机译:
用于HVM光刻过程监控和稳定性控制的运行时扫描仪数据分析
作者:
Woong Jae Chung
;
Young Ki Kim
;
John Tristan
;
Jeong Soo Kim
;
Lokesh Subramany
;
Chen Li
;
Brent Riggs
;
Vidya Ramanathan
;
Ram Karur-Shanmugam
;
George Hoo
;
Jie Gao
;
Anna Golotsvan
;
Kevin Huang
;
Bill Pierson
;
John Robinson
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
lithography;
scanner data analysis;
scanner fleet management (SFM);
high-order wafer alignment;
dynamic wafer selection;
sub-recipe;
27.
Isolation Mounts Scatterometry with RCWA and PML
机译:
RCWA和PML的隔离安装散射测量仪
作者:
Hirokimi Shirasaki
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Scatterometry;
RCWA;
PML;
Isolation structure;
Isolation mounts;
28.
Data Fusion for CD Metrology: Heterogeneous Hybridization of Scatterometry, CDSEM and AFM data
机译:
CD计量学的数据融合:散射法,CDSEM和AFM数据的异构杂交
作者:
J. Hazart
;
N. Chesneau
;
G. Evin
;
A. Largent
;
A. Derville
;
R.Therese
;
S. Bos
;
R. Bouyssou
;
C. Dezauzier
;
J. Foucher
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Data fusion;
hybrid metrology;
combined metrology;
holistic metrology;
metrology;
critical dimension measurements;
high level fusion;
deep level fusion;
29.
Accurate Contour Extraction from Mask SEM Image
机译:
从掩模SEM图像中准确提取轮廓
作者:
Izumi Santo
;
Akira Higuchi
;
Mirai Anazawa
;
Hideaki Bandoh
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Contour extraction;
Lithography simulation;
distortion correction;
Mask CD-SEM;
30.
Improvement of CD-SEM mark position measurement accuracy
机译:
提高CD-SEM标记位置测量精度
作者:
Kentaro Kasa
;
Kazuya Fukuhara
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
measurement;
accuracy;
CD-SEM;
31.
Mean Offset Optimization for Multi-patterning Overlay Using Monte Carlo Simulation Method
机译:
蒙特卡罗模拟方法的多图案叠加均值偏移优化
作者:
Wenhui Wang
;
Liping Cui
;
Lei Sun
;
Ryoung-Han Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay;
alignment;
multiple patterning;
triple patterning;
Monte Carlo;
simulation;
LELELE;
32.
20NM MOL OVERLAY CASE STUDY
机译:
20NM MOL叠加案例研究
作者:
Lokesh Subramany
;
Michael Hsieh
;
Chen Li
;
Hui Peng Koh
;
David Cho
;
Anna Golotsvan
;
Vidya Ramanathan
;
Ramkumar Karur Shanmugam
;
Lipkong Yap
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay metrology;
process variation;
overlay target design;
CPE;
HOWA;
33.
Metrology of advanced N14 process pattern split at lithography
机译:
先进的N14工艺图案光刻技术的计量
作者:
Wei-Jhe Tzai
;
Chia-Ching Lin
;
Chien-Hao Chen
;
Chun-Chi Yu
;
Wei-Yuan Chu
;
Sungchul Yoo
;
Chien-Jen Huang
;
Chao-Yu Cheng
;
Hsiao-Fei Su
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
LELE;
Pitch walk;
CD;
overlay;
spectroscopic ellipsometry;
SCD;
34.
Development of UV inspection system on the defect of electrode for 5um level multilayer pattern
机译:
5um级多层图案电极缺陷紫外线检测系统的开发
作者:
Kee Namgung
;
Jihun Woo
;
Sanghee Lim
;
Seonho Lee
;
Jisoo Lee
;
Seung il Lim
;
Jaewon lim
;
Byoungkyeom Kim
;
Jaisoon Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Ultra Violet;
Inspection;
Line illumination;
TFT Multilayer;
Linear CCD;
35.
Scatterometry Performance Improvement by Parameter and Spectrum Feed-forward
机译:
通过参数和频谱前馈改善散射测量性能
作者:
Jie Li
;
Shahin Zangooie
;
Karthik Boinapally
;
Xi Zou
;
Jiangtao Hu
;
Zhuan Liu
;
Sanjay Yedur
;
Peter Wilkens
;
Avraham Ver
;
Robert Cohen
;
Babak Khamsehpour
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
scatterometry;
optical critical dimension (OCD);
feed forward;
parallel analysis;
spectroscopic ellipsometry (SE);
normal incidence spectroscopic reflectometry (NISR);
36.
Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly
机译:
定向自组装的光谱临界尺寸技术(SCD)
作者:
Senichi Nishibe
;
Thaddeus Dziura
;
Venkat Nagaswami
;
Roel Gronheid
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Directed self-assembly metrology;
Scatterometry;
SCD;
37.
SEM-Contour Shape Analysis Based on Circuit Structure for Advanced Systematic Defect Inspection
机译:
基于电路结构的SEM轮廓形状分析,用于高级系统缺陷检测
作者:
Yasutaka Toyoda
;
Hiroyuki Shindo
;
Yutaka Hojo
;
Daisuke Fuchimolo
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
2D;
Measurement;
Defect;
Hotspot;
CD-SEM;
Review-SEM;
Metal;
Via;
Design;
Overlay;
EPE;
38.
Real-time focus and overlay measurement by the use of fluorescent markers
机译:
使用荧光标记进行实时聚焦和覆盖测量
作者:
Diederik Maas
;
Erwin van Zwet
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Overlay;
focus;
lithography;
image placement;
metrology integration;
advanced process control;
multiple patterning;
fluorescence;
processing;
39.
High-precision self-tool CD matching with focus-target assist pattern by computational ways
机译:
通过计算方式将高精度自我工具CD与聚焦目标辅助模式匹配
作者:
Sung-Man Kim
;
Hyun-Chul Kim
;
Jung-Woo Lee
;
Young-Seok Kim
;
Yong-Ho Kim
;
Sung-Keun Won
;
Seong-il(Andrew) Kim
;
Ki-Yeop(Chris) Park
;
Chang-Hoon Ryu
;
Qi-Tong Fan
;
Ki-Ho Baik
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Proximity CD bias;
Tool to tool CD matching;
Self-tool CD matching;
PMFC;
Best focus target;
40.
In-line focus monitoring and fast determination of best focus using scatterometry
机译:
在线焦点监控和使用散射法快速确定最佳焦点
作者:
Steven Thanh Ha
;
Benjamin Eynon
;
Melany Wynia
;
Jeff Schmidt
;
Christian Sparka
;
Antonio Mani
;
Roie Volkovich
;
SeungHoon Yoon
;
David Tien
;
John Robinson
;
Saroja Ramamurthi
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
focus;
process control;
lithography;
41.
Comparative defect classifications and analysis of Lasertec's M1350 and M7360
机译:
Lasertec M1350和M7360的比较缺陷分类和分析
作者:
Milton Godwin
;
Dave Balachandran
;
Tomoya Tamura
;
Anwei Jia
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
defect detection;
automatic defect classification;
42.
Tracking defectivity of EUV and SADP processing using bright-field inspection
机译:
使用明场检查跟踪EUV和SADP处理的缺陷
作者:
Nadine Alexis
;
Chris Bencher
;
Yongmei Chen
;
Huixiong Dai
;
Kfir Dotan
;
Dale Huang
;
Alison Nalven
;
Chris Ngai
;
Gaetano Santoro
;
Bharath Vijayaraghavan
;
Peng Xie
;
Jun Xue
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
EUV lithography;
SADP;
bright-field inspection;
metrology;
defectivity;
programmed defects;
43.
Improved reticle requalification accuracy and efficiency via simulation-powered automated defect classification
机译:
通过模拟驱动的自动缺陷分类,提高了掩模版重新鉴定的准确性和效率
作者:
Shazad Paracha
;
Benjamin Eynon
;
Ben F. Noyes Ⅲ
;
Anthony Nhiev
;
Anthony Vacca
;
Peter Fiekowsky
;
Dan Fiekowsky
;
Young Mog Ham
;
Doug Uzzel
;
Michael Green
;
Susan MacDonald
;
Jon Morgan
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
ADC;
automatic defect classification;
freeform illumination;
AIMS;
defect printability;
wafer;
CD;
reticle;
photomask;
44.
Direct-Scatterometry-Enabled PEC Model Calibration with Two-Dimensional Layouts
机译:
具有二维布局的启用直接散射测量的PEC模型校准
作者:
Yi-Yeh Yang
;
Hsuan-Ping Lee
;
Chun-Hung Liu
;
Hao-Yun Yu
;
Kuen-Yu Tsai
;
Jia-Han Li
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
lithography;
scatterometry;
pupil image;
proximity effects;
lithography model calibration;
2-D layouts;
45.
Implementation of background scattering variance reduction on the Rapid Nano particle scanner
机译:
在快速纳米粒子扫描仪上实现背景散射方差减少的实现
作者:
Peter van der Walle
;
Sandro Hannemann
;
Daan van Eijk
;
Wouter Mulckhuyse
;
Jacques C.J. van der Donck
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
particle inspection;
dark-field microscopy;
illumination;
detection limit;
Rapid Nano;
EUVL;
qualification;
46.
The measurement uncertainty of CD measurement in the optical measurement technology using Fourier Image
机译:
使用傅立叶图像的光学测量技术中CD测量的测量不确定度
作者:
Kuniharu Nagashima
;
Hideaki Abe
;
Makoto Oote
;
Yuichiro Yamazaki
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Fourier Image;
Optical measurement;
CD measurement;
Robustness;
Measurement Uncertainty;
47.
Process Control Using Set-Membership Vector-Form Affine Projection Adaptive Filtering Scheme
机译:
集成员矢量仿射投影自适应滤波方案的过程控制
作者:
Chia-Chang Hu
;
Kui-He Chen
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
48.
Phase Shift Focus Monitor for OAI and High NA Immersion Scanners
机译:
用于OAI和高NA浸入式扫描仪的相移聚焦监控器
作者:
H.M. Kuo
;
R.C. Peng
;
H.H. Liu
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
Focus monitor;
PSFM;
Overlay;
Immersion Scanner;
49.
CD uniformity optimization at volume ramp up stage for new product introduction
机译:
CD均匀度优化,在量产阶段增加新产品
作者:
Jin-Soo Kim
;
Won-Kwang Ma
;
Young-Sik Kim
;
Myoung-Soo Kim
;
Won-Taik Kwon
;
Sung-Ki Park
;
Peter Nikolsky
;
Marian Otter
;
Maryana Escalante Marun
;
Roy Anunciado
;
Kyu-Tae Sun
;
Greet Storms
;
Ewoud van West
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
CDU;
Imaging Optimizer;
iOpt;
process development;
process optimization;
50.
Plasma Etched Surface Scanning Inspection Recipe Creation based on Bidirectional Reflectance Distribution Function and Polystyrene Latex Spheres
机译:
基于双向反射分布函数和聚苯乙烯胶乳球的等离子刻蚀表面扫描检查配方的创建
作者:
Tiffany Saldana
;
Steve McGarvey
;
Steve Ayres
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
51.
The effect of individually-induced processes on image-based overlay and diffraction-based overlay
机译:
个别诱导的过程对基于图像的叠加和基于衍射的叠加的影响
作者:
SeungHwa Oh
;
Jeongjin Lee
;
Seungyoon Lee
;
Chan Hwang
;
Gilheyun Choi
;
Ho-Kyu Kang
;
EunSeung Jung
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
2014年
关键词:
diffraction-based overlay;
image-based overlay;
process overlay;
overlay process robustness;
52.
Importance of wafer flatness for CMP and lithography
机译:
CMP和光刻晶圆平面的重要性
作者:
Yuan Zhang
;
Lucian Wagner
;
Peter Golbutsov
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
53.
Monitoring optical properties and thickness of PECVD SiON antireflective layer by spectroscopic ellipsometry
机译:
通过光谱椭圆形测量监测PECVD SION抗反射层的光学性质和厚度
作者:
Carlos Ygartua
;
Kathy Konjuh
;
Shari Schuchmann
;
Kenneth P. MacWilliams
;
David Mordo
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
54.
Advanced inspection for 0.25-um-generation semiconductor manufacturing
机译:
0.25-UM代半导体制造的高级检查
作者:
Arye Shapiro
;
Thomas James
;
Brian M. Trafas
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
55.
Overlay measurements and edge detection methods
机译:
覆盖测量和边缘检测方法
作者:
Alexander I. Zaslavsky
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
56.
Basic challenges of optical overlay measurements
机译:
光学叠加测量的基本挑战
作者:
Anatoly Shchemelinin
;
Eugene Shifrin
;
Alexander I. Zaslavsky
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
57.
3D imaging of VLSI wafer surfaces using a multiple-detector SEM
机译:
使用多探测器SEM的VLSI晶片表面的3D成像
作者:
Yaron I. Gold
;
Radael Ben Av
;
Mark Wagner
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
58.
High-precision calibration of a scanning probe microscope (SPM) for pitch and overlay measurements
机译:
扫描探针显微镜(SPM)的高精度校准,用于俯仰和覆盖测量
作者:
Donald A. Chernoff
;
Jason D. Lohr
;
Douglas P. Hansen
;
Michael Lines
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
59.
Optimizing inline defect monitoring using correlation with electrical failures
机译:
使用与电气故障相关的内联缺陷监控
作者:
Prashant A. Aji
;
Arnaud Lanier
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
60.
Contact holes: a challenge for signal collection efficiency and measurement algorithms
机译:
联系孔:信号收集效率和测量算法的挑战
作者:
Eric Solecky
;
Charles N. Archie
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
61.
Optical characterization of attenuated phase shifters
机译:
减振相移器的光学表征
作者:
Alessandro Callegari
;
Katherina Babich
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
62.
Novel near-field optical probe for 100-nm critical dimension measurements
机译:
新型近场光学探头,用于100nm关键尺寸测量
作者:
Brian R. Stallard
;
Sumanth Kaushik
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
63.
Improvement of alignment accuracy for scaled exposure field
机译:
缩放曝光场对准精度的提高
作者:
Satoshi Nakajima
;
Makoto Tanigawa
;
Akira Ishihama
;
Keizo Sakiyama
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
64.
Statistical verification of multiple CD-SEM matching
机译:
多个CD-SEM匹配的统计验证
作者:
Doreen Erickson
;
Neal T. Sullivan
;
Richard C. Elliott
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
65.
Thinking small: challenges for metrology at century's end
机译:
思考小:在世纪结束时的计量挑战
作者:
William H. Arnold
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
66.
Alternative method for monitoring an in-line CD SEM
机译:
用于监控在线CD SEM的替代方法
作者:
Pedro P. Herrera
;
Susan A. Dick
;
John Allgair
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
67.
Innovations in monitoring for sub-half-micron production
机译:
次半微米生产监测的创新
作者:
Teresa L. Lauck
;
Kristin Wiley
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
68.
Offline programming of CD-SEM systems enhances wafer fab productivity
机译:
CD-SEM系统的离线编程增强了晶片Fab生产率
作者:
Rudolf Schiessl
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
69.
Simulating photomask edge roughness and corner rounding
机译:
模拟光掩模边缘粗糙度和角舍入
作者:
Konstantinos Adam
;
Robert J. Socha
;
Tom Pistor
;
Andrew R. Neureuther
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
70.
Comparisons of six different intrafield control paradigms in an advanced mix-and-match environment
机译:
高级混合和匹配环境中六种不同的Intradife控制范例的比较
作者:
Joseph C. Pellegrini
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
71.
Characterization of real particle size for the process particle monitor using laser surface scanners
机译:
使用激光表面扫描仪对工艺粒子监视器进行实际粒径的表征
作者:
Yoko Miyazaki
;
Toshiaki Mugibayashi
;
Masahiko Ikeno
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
72.
Improving metrology signal-to-noise on grainy overlay features
机译:
提高颗粒状覆盖特征的计量信号对噪声
作者:
Arnold W. Yanof
;
Woody Windsor
;
Russ Elias
;
John N. Helbert
;
Cameron Harker
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
73.
Characterization of defect detection schemes using rigorous 3D EM field simulation
机译:
使用严格的3D EM场仿真表征缺陷检测方案
作者:
Aaron L. Swecker
;
Andrzej J. Strojwas
;
Ady Levy
;
Bobby R. Bell
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
74.
E-beam-induced distortions on SiN x-ray mask membrane
机译:
SIN X射线掩模膜上的电子束诱导的畸变
作者:
Nikolai L. Krasnoperov
;
Zheng Chen
;
Franco Cerrina
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
75.
Highly accurate CD measurement with a micro standard
机译:
具有微标准的高精度CD测量
作者:
Katsuhiro Sasada
;
Nobuyoshi Hashimoto
;
Hiroyoshi Mori
;
Tadashi Ohtaka
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
76.
CMP overlay metrology: robust performance through signal and noise improvements
机译:
CMP覆盖计量:通过信号和噪声改进的鲁棒性能
作者:
John C. Podlesny
;
Francis Cusack
;
Susan Redmond
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
77.
Optical diffraction tomography for latent image metrology
机译:
潜像计量的光学衍射断层扫描
作者:
Ziad R. Hatab
;
Nasir Ahmed
;
S. Sohail H. Naqvi
;
John R. McNeil
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
78.
Precise measurement of ARC optical indices in the deep-UV range by variable-angle spectroscopic ellipsometry
机译:
通过可变角度光谱椭圆形测量测量深紫外线范围内的电弧光学指标精确测量
作者:
Pierre Boher
;
Jean-Louis P. Stehle
;
Jean P. Piel
;
Christophe Defranoux
;
Louis Hennet
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
79.
CMP-compatible alignment strategy
机译:
CMP兼容的对齐策略
作者:
Eric Rouchouze
;
Jean-Michel Darracq
;
Jack Gemen
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
80.
Effect of reticle bias on isofocal process performance at subhalfmicron resolution
机译:
掩盖偏差对次麦晶粒分辨率异拷压过程性能的影响
作者:
Brian Martin
;
Graham G. Arthur
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
81.
Resist and etched line profile characterization using scatterometry
机译:
使用散射测定法抗蚀剂和蚀刻线轮廓表征
作者:
Christopher J. Raymond
;
S. Sohail H. Naqvi
;
John R. McNeil
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
82.
Automatic classification of spatial signatures on semiconductor wafer maps
机译:
半导体晶片图中空间签名的自动分类
作者:
Kenneth W. Tobin
;
Shaun S. Gleason
;
Thomas P. Karnowski
;
Susan L. Cohen
;
Fred Lakhani
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
83.
Monte Carlo simulation of charging effects on linewidth metrology
机译:
Monte Carlo对线宽计量的充电效果模拟
作者:
Yeong-Uk Ko
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
84.
Stability of glass probe tips for critical dimension measurement
机译:
玻璃探针尖端稳定性尺寸测量
作者:
Joseph E. Griffith
;
Gabriel L. Miller
;
Leslie C. Hopkins
;
Charles E. Bryson
;
E.J. Snyder
;
J.J. Plombon
;
Leonid A. Vasilyev
;
Jeffery B. Bindell
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
85.
Plasma antireflective coating optimization using enhanced reflectivity modeling
机译:
采用增强型反射率建模的等离子体抗反射涂层优化
作者:
Kevin D. Lucas
;
Jamie A. Vasquez
;
Ajay Jain
;
Stanley M. Filipiak
;
Tam Vuong
;
Charles F. King
;
Bernard J. Roman
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
86.
Detecting lithography's variations: new types of defects for automatic inspection machines
机译:
检测光刻的变化:自动检查机器的新型缺陷
作者:
Paul Gudeczauskas
;
Erez Ravid
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
87.
Improving the accuracy of overlay measurements through reduction in tooland wafer-induced shifts
机译:
通过减少工具和晶片引起的换档来提高覆盖测量的精度
作者:
Moshe E. Preil
;
Bert F. Plambeck
;
Yoram Uziel
;
Hao Zhou
;
Matthew W. Melvin
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
88.
Single-feature metrology by means of light scatter analysis
机译:
通过光散射分析单一特征计量
作者:
Joerg Bischoff
;
Karl Hehl
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
89.
Advanced FTIR techniques for photoresist process characterization
机译:
用于光致抗蚀剂过程表征的先进的FTIR技术
作者:
Ronald A. Carpio
;
Jeffrey D. Byers
;
John S. Petersen
;
Wolfgang Theiss
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
90.
Error estimation for lattice methods of stage self-calibration
机译:
舞台自校准晶格方法的误差估计
作者:
Michael R. Raugh
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
91.
Improved defect detection performance at metal and contact etch levels using a new optical-comparison segmented-autothreshold technology
机译:
使用新的光学比较分段 - 自动reshold技术改善金属和接触蚀刻水平的缺陷检测性能
作者:
James F. Garvin
;
Kevin Keefauver
;
Mark Tinker
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
92.
Fourier transform feedback tool for scanning electron microscopes used in semiconductor metrology
机译:
用于扫描半导体计量中使用的电子显微镜的傅里叶变换反馈工具
作者:
Michael T. Postek
;
Andras E. Vladar
;
Mark P. Davidson
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
93.
Characteristics of overlay accuracy after metal CMP process
机译:
金属CMP工艺后覆盖精度的特性
作者:
Young-Keun Kim
;
Yong-Suk Lee
;
Won-Kyu Lee
;
Chul-Gi Ko
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
94.
Wavefront engineering from 500-nm to 100-nm CD
机译:
波前工程从500-nm到100nm cd
作者:
Marc D. Levenson
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
95.
Scatterometric process monitor for silylation
机译:
用于甲硅烷基化的散射过程监测器
作者:
Shoaib H. Zaidi
;
John R. McNeil
;
S. Sohail H. Naqvi
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
96.
Method to characterize overlay tool misalignments and distortions
机译:
用于表征覆盖工具未对流和扭曲的方法
作者:
Richard M. Silver
;
James E. Potzick
;
Fredric Scire
;
Chris J. Evans
;
M.McGlauflin
;
Edward Kornegay
;
Robert D. Larrabee
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
97.
Survey of scanning electron microscopes using quantitative resolution evaluation
机译:
使用定量分辨率评估扫描电子显微镜调查
作者:
Gilles L. Fanget
;
Herve M. Martin
;
Brigitte Florin
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
98.
Statistical measure for the sharpness of SEM images
机译:
SEM图像清晰度的统计措施
作者:
Nien F. Zhang
;
Michael T. Postek
;
Robert D. Larrabee
;
Andras E. Vladar
;
William J. Keery
;
Samuel N. Jones
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
99.
Dimensional metrology at the nanometer level: combined SEM and PPM
机译:
纳米级尺寸计量:组合SEM和PPM
作者:
Michael T. Postek
;
Huddee J. Ho
;
Harrison L. Weese
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
100.
Photoresist materials: a historical perspective
机译:
光致抗蚀剂材料:历史观点
作者:
C. Grant Willson
;
Ralph R. Dammel
;
Arnost Reiser
会议名称:
《Conference on metrology, inspection, and process control for microlithography》
|
1997年
意见反馈
回到顶部
回到首页