掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Embedded Multicore/Manycore SoCs
International Symposium on Embedded Multicore/Manycore SoCs
召开年:
2014
召开地:
Aizu-Wakamatsu(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Time-Based Least Memory Intensive Scheduling
机译:
基于时间的最小内存密集型调度
作者:
Elhelw Amr S.
;
Moursy Ali El
;
Fahmy Hossam A.H.
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Bandwidth;
Benchmark testing;
Instruction sets;
Memory management;
Random access memory;
Registers;
Throughput;
Memory Controller;
Memory Interference;
Multi-core;
Shared Resources;
2.
Model-Based Platform Composition for Embedded System Design
机译:
嵌入式系统设计的基于模型的平台组合
作者:
Hili Nicolas
;
Fabre Christian
;
Llopard Ivan
;
Chessa Sophie Dupuy
;
Rieu Dominique
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Adaptation models;
Assembly;
Cameras;
Containers;
Face;
Image processing;
Sensors;
3.
A Buffered Flow Control Scheme with Flit Weight-Based Dropping Mechanism for Efficient Communication in NoC
机译:
NoC中有效通信的基于权重下降的缓冲流控制方案
作者:
Aldammas Ahmed
;
Soudani Adel
;
Dhelaan Abdullah Al
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Delays;
Jitter;
Memory management;
Ports (Computers);
Process control;
Quality of service;
Routing;
Memory management;
Micro-architecture;
Network-on-chip;
Quality-of-service;
WRED;
4.
Design of a Coarse-Grained Processing Element for Matrix Multiplication on FPGA
机译:
FPGA上用于矩阵乘法的粗粒度处理元素的设计
作者:
Okuyama Yuichi
;
Takano Shigeyuki
;
Shirai Tokimasa
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Clocks;
Digital signal processing;
Equations;
Field programmable gate arrays;
Registers;
FPGA;
SIMD processor;
matrix multiplication;
5.
SAMNoC: A Novel Optical Network-on-Chip for Energy-Efficient Memory Access
机译:
SAMNoC:用于节能存储的新型片上光网络
作者:
Fu Weiwei
;
Yuan Mingmin
;
Chen Tianzhou
;
Liu Li
;
Wu Minghui
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Optical buffering;
Optical fiber networks;
Optical interconnections;
Optical packet switching;
Optical waveguides;
Random access memory;
System-on-chip;
Memory access traffic;
Optical network-on-chip;
Self-arbitration;
6.
A Performance Evaluation of Multi-programming Model on a Multicore System with Virtual Machines
机译:
虚拟机的多核系统上多程序模型的性能评估
作者:
Ueno Hitoshi
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Mathematical model;
Maximum power point trackers;
Photovoltaic systems;
Transistors;
Voltage control;
Linux;
multi-programming;
multicore;
performance evaluation;
process;
supervisor mode;
thread;
virtual machine;
7.
Adaptive Error- and Traffic-Aware Router Architecture for 3D Network-on-Chip Systems
机译:
适用于3D片上网络系统的自适应错误和流量感知路由器架构
作者:
Ahmed Akram Ben
;
Meyer Michael
;
Okuyama Yuichi
;
Abdallah Abderazek Ben
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Batteries;
Coils;
Contacts;
Erbium;
Niobium;
Switches;
Voltage control;
3D NoC;
Adaptive;
Error-aware;
Traffic-aware;
8.
KNoCEmu: High Speed FPGA Emulator for Kilo-node Scale NoCs
机译:
KNoCEmu:用于千节点级NoC的高速FPGA仿真器
作者:
Chu Thiem Van
;
Sato Shimpei
;
Kise Kenji
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Computer architecture;
Field programmable gate arrays;
Generators;
Multiplexing;
Random access memory;
Switches;
System-on-chip;
9.
An Analysis Tool for a Programming Contest for High-School Students
机译:
高中学生编程竞赛的分析工具
作者:
Furuya Shota
;
Yanai Katsuki
;
Yoshioka Rentaro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Graphical user interfaces;
Informatics;
Market research;
Programming profession;
Real-time systems;
analysis;
competitive learning;
e-learning;
programming contest;
10.
An FPGA-Based Tightly Coupled Accelerator for Data-Intensive Applications
机译:
适用于数据密集型应用的基于FPGA的紧密耦合加速器
作者:
Yoshimi Masato
;
Kudo Ryu
;
Oge Yasin
;
Terada Yuta
;
Irie Hidetsugu
;
Yoshinaga Tsutomu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Ash;
Big data;
Field programmable gate arrays;
Random access memory;
Registers;
Throughput;
Big Data processing;
FPGA-based tightly-coupled accelerator;
Simple Word Counting;
11.
Application of GPU to Three Computational Models
机译:
GPU在三种计算模型中的应用
作者:
Shi Qiangqiang
;
Yang Yiyang
;
Li Xiaolin
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Capacitance;
Clocks;
Electronic mail;
Logic gates;
MOS devices;
Monitoring;
Transistors;
American option pricing;
GPGPU;
gas dynamics;
spring model;
12.
Evaluation of Memory Optimization in a Large-Scale Structural Finite Element Pre-processor
机译:
大型结构有限元预处理器中的内存优化评估
作者:
Wang Hui
;
Lv Ping
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Signal to noise ratio;
finite element pre-processor;
large-scale structure;
memory optimization;
nuclear safety;
13.
Are Mobile Devices More Useful than Conventional Means as Tools for Learning Vocabulary?
机译:
移动设备作为学习词汇的工具比传统手段有用吗?
作者:
Lee Piyu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Interviews;
Mobile communication;
Smart phones;
Vocabulary;
English as a Foreign Language (EFL);
mobile devices;
smartphones;
tablet PC;
technology;
vocabulary learning;
14.
A GPGPU-Based Acceleration of Fault-Tolerant MLP Learnings
机译:
基于GPGPU的容错MLP学习加速
作者:
Horita Tadayoshi
;
Takanami Itsuo
;
Akiba Masakazu
;
Terauchi Mina
;
Kanno Tsuneo
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Acceleration;
Fault tolerance;
Fault tolerant systems;
Graphics processing units;
Indexes;
Neurons;
Programming;
CUDA;
GPGPU;
GPU;
fault-tolerance;
multilayer perceptron;
15.
Adaptive V-Set Cache for Multi-core Processors
机译:
适用于多核处理器的自适应V集缓存
作者:
Moursy Ali A.El
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Benchmark testing;
Complexity theory;
Microprocessors;
Multicore processing;
Radiation detectors;
Scalability;
Throughput;
Adaptive cache;
Cache design;
Multi-core;
NUCA;
16.
Smart Cloud-based Implementation of a Learning Style Index
机译:
基于智能云的学习风格索引实施
作者:
Hamada Mohamed
;
Muhammed Aree
;
Tufan Kadir
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Electronic mail;
Indexes;
Large scale integration;
Materials;
Visualization;
cloud computing;
learning style index;
mobile computing;
smart devices;
17.
An Approach to Customization of Compiler Directives for Application-Specific Code Transformations
机译:
定制用于特定于应用程序的代码转换的编译器指令的方法
作者:
Xiao Xiong
;
Hirasawa Shoichi
;
Takizawa Hiroyuki
;
Kobayashi Hiroaki
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Jacobian matrices;
Kernel;
Program processors;
Programming;
Transforms;
Tuning;
XML;
18.
A Thermal Management System for Building Block Computing Systems
机译:
用于构件计算系统的热管理系统
作者:
Fujita Yu
;
Usami Kimiyoshi
;
Amano Hideharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Heating;
Monitoring;
Semiconductor device measurement;
Temperature;
Temperature measurement;
Temperature sensors;
3D stack;
building block computing system;
thermal management;
19.
A Cache Aware Multithreading Decision Scheme on GPGPUs
机译:
GPGPU上的缓存感知多线程决策方案
作者:
Yen Ta Kang
;
Yu Bo Yao
;
Lai Bo Cheng Charles
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Bandwidth;
Benchmark testing;
Memory management;
Multithreading;
Pipelines;
Random access memory;
GPGPU;
Memory performance;
design and optimization;
multithreading;
20.
A Code Partitioning Tool for Simulink Models to Implement on FPGA-Based Network-on-Chip Architecture
机译:
Simulink模型的代码分区工具,可在基于FPGA的片上网络架构上实现
作者:
Miyasono Satoru
;
Moriai Yosuke
;
Saito Hiroshi
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Computational modeling;
Computer architecture;
Hardware design languages;
Nickel;
Receivers;
Resource management;
Software packages;
FPGA;
code partitioning;
network-on-chip;
21.
NoobLab: An E-learning Platform for Teaching Programming
机译:
NoobLab:用于编程教学的在线学习平台
作者:
Neve Paul
;
Hunter Gordon
;
Livingstone David
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Multicore processing;
System-on-chip;
NoobLab;
e-learning;
programming;
22.
An Acceleration for Any-Angle Routing Using Quasi-Newton Method on GPGPU
机译:
在GPGPU上使用拟牛顿法进行任意角度路由的加速
作者:
Honda Takahiro
;
Kohira Yukihide
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Acceleration;
Function approximation;
Graphics processing units;
Linear programming;
Routing;
Wires;
GPGPU;
PCB routing;
any-angle routing;
package routing;
quasi-Newton method;
23.
A Format for Work Specification
机译:
工作规范格式
作者:
Sawai Hidehito
;
Yoshioka Rentaro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Agriculture;
Electronic publishing;
Encyclopedias;
Internet;
Soil;
Syntactics;
format;
human work;
knowledge reuse;
work specification;
24.
Stackelberg Game Theoretic Approach for Probabilistic Network Coding in Retransmission Mechanism
机译:
重传机制中概率网络编码的Stackelberg博弈理论方法
作者:
Cao Bin
;
Qiao Li
;
Li Yun
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Games;
Network coding;
Probabilistic logic;
Receivers;
Relays;
System performance;
Wireless networks;
Stackelberg game;
network coding;
retransmission;
25.
Auto-tuning of Computation Kernels from an FDM Code with ppOpen-AT
机译:
使用ppOpen-AT从FDM代码自动调整计算内核
作者:
Katagiri Takahiro
;
Ohshima Satoshi
;
Matsumoto Masaharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Frequency division multiplexing;
Kernel;
Libraries;
Optimization;
Supercomputers;
Syntactics;
Auto-tuning;
Directive;
Loop Transformation;
Static Code Generation;
ppOpen-AT;
26.
Introducing A-Cell for Scalable and Portable SIMD Programming
机译:
推出用于可扩展和便携式SIMD编程的A-Cell
作者:
Khandan Hamed
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Computational modeling;
Computers;
Electronics packaging;
Program processors;
Programming;
Prototypes;
Runtime;
Multi-Core Processing;
Many-Core Processing;
Parallel Programming;
Concurrency Control;
High-Performance Computing;
Middleware;
27.
Towards Latency-Aware Data Acquisition in Wireless Sensor Network
机译:
迈向无线传感器网络中的延迟感知数据采集
作者:
Ke Huan
;
Guo Song
;
Miyazaki Toshiaki
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Clustering algorithms;
Data acquisition;
Heuristic algorithms;
Mobile communication;
Mobile computing;
Routing;
Wireless sensor networks;
WSN;
latency;
mobile sinks;
28.
Invited speakers
机译:
特邀发言人
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
29.
Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors
机译:
使用Spring物理模型扩展多核处理器的协作缓存协议
作者:
Dahmani Safae
;
Cudennec Loic
;
Louise Stephane
;
Gogniat Guy
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Cooperative caching;
Data models;
Mathematical model;
Program processors;
Protocols;
Springs;
System-on-chip;
Cooperative Caching;
Data Sliding;
Many-cores;
Mass-Spring Physical Model;
30.
An Information Classification Approach Based on Knowledge Network
机译:
基于知识网络的信息分类方法
作者:
Li Huakang
;
Sun Guozi
;
Xu Bei
;
Li Li
;
Huang Jie
;
Tanno Keita
;
Wu Wenxu
;
Xu Changen
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Benchmark testing;
Electronic publishing;
Encyclopedias;
Internet;
Knowledge based systems;
Web pages;
31.
Performance Validation of the Multicore SoC for Spacecraft Applications
机译:
用于航天器应用的多核SoC的性能验证
作者:
Wang Feiyao
;
Wang Wenyan
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Computer bugs;
Field programmable gate arrays;
Manufacturing;
Multicore processing;
Radiation effects;
System-on-chip;
Testing;
Irradiation hardened performance;
Multicore SoC;
Performance validation;
Post-silicon validation;
Spacecraft application;
Test bench;
32.
Classifying Performance Bottlenecks in Multi-threaded Applications
机译:
分类多线程应用程序中的性能瓶颈
作者:
Dutta Sourav
;
Manakkadu Sheheeda
;
Kagaris Dimitri
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Connectors;
Copper;
Damping;
Iron;
Reactive power;
Springs;
Switches;
33.
Investigating Core-Level N-Modular Redundancy in Multiprocessors
机译:
研究多处理器中的内核级N-模块化冗余
作者:
Simevski Aleksandar
;
Kraemer Rolf
;
Krstic Milos
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Fault tolerance;
Nuclear magnetic resonance;
Reliability theory;
Synchronization;
Tunneling magnetoresistance;
N-modular redundant (NMR) systems;
dynamic NMR formation;
multiprocessor reliability;
programmable NMR voters;
34.
Design and Performance Evaluation of a Manycore Processor for Large FPGA
机译:
大型FPGA的Manycore处理器的设计和性能评估
作者:
Mori Haruka
;
Kise Kenji
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Biological cells;
Circuit faults;
Combinational circuits;
Fault detection;
Genetic algorithms;
Integrated circuit modeling;
Very large scale integration;
FPGA;
MIPS;
Manycore;
Network on Chip;
Verilog HDL;
35.
Accelerating Parallel Computations with OpenMP-Driven System-on-Chip Generation for FPGAs
机译:
利用OpenMP驱动的FPGA片上系统生成来加速并行计算
作者:
Podobas Artur
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Field programmable gate arrays;
Hardware;
Kernel;
Parallel processing;
Registers;
System-on-chip;
HLS;
Hardware Generation;
OpenMP FPGA;
Parallel;
Software-Hardeware;
Task-based;
36.
Symbols and Rules for a Self-Explanatory Machine Model
机译:
自解释机器模型的符号和规则
作者:
Igarashi Keiko
;
Seino Saki
;
Yoshioka Rentaro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Assembly;
Computer languages;
Detectors;
Industrial control;
Programming;
Software;
Switches;
PLC programming;
e-learning;
knowledge acquisition;
machine model;
37.
Cloud-Based Service for eBooks Using EPUB under the Aspect of Learning Analytics
机译:
学习分析方面的使用EPUB的电子书云服务
作者:
Ebner Martin
;
Prettenthaler Christoph
;
Hamada Mohamed
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Databases;
Educational institutions;
Electronic publishing;
HTML;
Multimedia communication;
Prototypes;
Servers;
cloud based;
ebooks;
information system;
learning analytics;
38.
Low Overhead Monitor Mechanism for Fault-Tolerant Analysis of NoC
机译:
低开销监控机制,用于NoC容错分析
作者:
Liu Junxiu
;
Harkin Jim
;
Li Yuhua
;
Maguire Liam
;
Barranco Alejandro Linares
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
AWGN channels;
Binary phase shift keying;
Bit error rate;
Discrete wavelet transforms;
OFDM;
Wireless communication;
Networks-on-Chip;
fault tolerant;
hardware adaption;
performance monitoring;
39.
Stochastic Analysis of Epidemic Routing Based Anycast in Throwbox-Equipped DTNs
机译:
基于throwbox的DTN中基于流行路由的选播的随机分析
作者:
Zeng Deze
;
Teng Chao
;
Yao Hong
;
Liang Qingzhong
;
Hu Chengyu
;
Yan Xuesong
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Accuracy;
Delays;
Markov processes;
Mobile nodes;
Relays;
Routing;
Delay tolerant networks;
anycast;
performance evaluation;
stochastic analysis;
40.
Automatic Glossing Services for E-learning Cloud Environments
机译:
电子学习云环境的自动光泽服务
作者:
Cortez Ruth
;
Vazhenin Alexander
;
Brine John
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Electronic learning;
Electronic publishing;
Encyclopedias;
Service-oriented architecture;
Vocabulary;
Cloud Computing;
E-learning;
Service Oriented Architecture (SOA);
Web Services;
41.
A Network-Friendly Disk I/O Optimization Framework in a Virtualized Cloud System
机译:
虚拟化云系统中的网络友好型磁盘I / O优化框架
作者:
Li Dingding
;
Tang Yong
;
Liu Bing
;
Yang Zhendong
;
Zhao Gansen
;
Li Jianguo
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Bandwidth;
Benchmark testing;
Hardware;
Optimization;
Semantics;
Servers;
Storms;
disk I/O;
network-attached storage;
virtualization;
42.
Performance Optimization of SpMV Using CRS Format by Considering OpenMP Scheduling on CPUs and MIC
机译:
考虑到CPU和MIC上的OpenMP调度,使用CRS格式的SpMV性能优化
作者:
Ohshima Satoshi
;
Katagiri Takahiro
;
Matsumoto Masaharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Dynamic scheduling;
Graphics processing units;
Hardware;
Libraries;
Microwave integrated circuits;
Schedules;
Sparse matrices;
Auto-tuning;
Open MP;
OpenATLib;
SpMV;
Xabclib;
43.
A Model of Computation for Real-Time Applications on Embedded Manycores
机译:
嵌入式Manycores上实时应用程序的计算模型
作者:
Louise Stephane
;
Dubrulle Paul
;
Goubier Thierry
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Clocks;
Computational modeling;
Data models;
Multicore processing;
Programming;
Real-time systems;
Time factors;
CSDF;
Dataflow;
Manycores;
Model of Computation;
Real-time and Cyber Physical Systems;
44.
A Multicore Architecture for High-Performance Scientific Computing Using FPGAs
机译:
使用FPGA的高性能科学计算的多核架构
作者:
Carrascosa J.P.Cobos
;
Moral B.Aparicio Del
;
Ramos J.L.
;
Jimenez A.C.Lopez
;
Iniesta J.C.Del Toro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Field programmable gate arrays;
Multicore processing;
Pipeline processing;
Ports (Computers);
Program processors;
Software algorithms;
FPGA;
MIMD;
high-performance;
multi-core;
software pipelining;
45.
Numerical Simulation of 3-D Elastic Moduli with Elliptical Cracks Using FM-DBEM
机译:
用FM-DBEM数值模拟椭圆裂纹的3-D弹性模量
作者:
Jin Lie
;
Wang Hongtao
;
Wang Haitao
;
Wu Xinxin
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Silicon carbide;
Dual boundary element method;
Effective elastic moduli;
Elliptical microcrack;
Fast multipole;
46.
Mobile Learning with Google App Engine
机译:
使用Google App Engine进行移动学习
作者:
Tabot Arreytambe
;
Hamada Mohamed
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Cloud computing;
Educational institutions;
Engines;
Google;
Mobile communication;
Mobile handsets;
Servers;
cloud computing;
mobile computing;
mobile learning;
web app;
47.
Phase Distribution Parameter Prediction Using Logistic Model in the Analysis of Two-Phase Flow
机译:
两相流分析中基于逻辑模型的相分布参数预测
作者:
Lv Ping
;
Wang Han
;
Wang Hui
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Analytical models;
Boundary conditions;
Differential equations;
Equations;
Logistics;
Mathematical model;
Numerical models;
Froude number;
logistic model;
phase distribution parameter;
two phase flow;
48.
Lighting the Dark-Silicon 3D Chip Multi-processors by Exploiting Heterogeneity in Cache Hierarchy
机译:
通过利用缓存层次结构中的异质性来点亮深硅3D芯片多处理器
作者:
Sadeghi Ashkan
;
Raahemifar Kaamran
;
Fathy Mahmood
;
Asad Arghavan
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
cache storage;
multi-threading;
multiprocessing systems;
scheduling;
CMP;
cache hierarchy;
dark-silicon 3D chip multiprocessors;
dynamic thread mapping;
energy-delay product improvement;
multiprogrammed workload;
multithreaded workload;
nonvolatile memory;
scheduling;
Instruction sets;
Multicore processing;
Nonvolatile memory;
Random access memory;
Silicon;
Three-dimensional displays;
3D Network on Chip (NoC);
Architectural Heterogeneity;
Chip Multiprocessors (CMPs);
Dark Silicon;
Non-Volatile Memory (NVM);
49.
Accelerated On-chip Communication Test Methodology Using a Novel High-Level Fault Model
机译:
使用新颖的高级故障模型加速片上通信测试方法
作者:
Karimi Elmira
;
Haghbayan Mohammad-Hashem
;
Rahmani Amir-Mohammad
;
Tabandeh Mahmoud
;
Liljeberg Pasi
;
Navabi Zainalabedin
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graph theory;
integrated circuit testing;
life testing;
system-on-chip;
AMBA-AHB bus architecture;
SoC;
accelerated test process;
graph nodes;
graph-based technique;
high-level fault model;
on-chip communication structures;
stuck-at fault testing methods;
system-on-chip;
Circuit faults;
Integrated circuit interconnections;
Integrated circuit modeling;
Mathematical model;
System-on-chip;
Testing;
Wires;
AMBA bus;
Complementary graph;
Fault model;
SoC;
State graph;
50.
Comparison of Shared and Private L1 Data Memories for an Embedded MPSoC in 28nm FD-SOI
机译:
28nm FD-SOI中嵌入式MPSOC的共享和私有L1数据存储器的比较
作者:
Sievers Gregor
;
Daberkow Julian
;
Ax Johannes
;
Flasskamp Martin
;
Kelly Wayne
;
Jungeblut Thorsten
;
Porrmann Mario
;
Ruckert Ulrich
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
embedded systems;
memory architecture;
multiprocessor interconnection networks;
network topology;
program compilers;
system-on-chip;
AXI interconnect;
CPU cluster configurations;
CoreVA-MPSoC;
FD-SOI standard cell technology;
MoT;
compilers;
crossbar;
embedded MPSoC;
local memory architecture;
memory banks;
mesh-of-trees;
multiple cluster integration;
on-chip network;
private L1 data memories;
shared L1 data memories;
shared memory interconnect;
streaming applications;
tightly-coupled CPU;
topology;
Central Processing Unit;
Clocks;
Memory management;
Routing;
Standards;
Topology;
28nm FD-SOI;
CPU cluster;
CoreVA-MPSoC;
MPSoC;
multi-banked memory;
shared L1 memory;
51.
FPU Speedup Estimation for Task Placement Optimization on Asymmetric Multicore Designs
机译:
FPU非对称多核设计任务放置优化的加速估计
作者:
Aminot Alexandre
;
Lhuillier Yves
;
Castagnetti Andrea
;
Charles Henri-Pierre
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
coprocessors;
multiprocessing systems;
resource allocation;
FPU speedup estimation;
asymmetric multicore designs;
task placement optimization;
Acceleration;
Benchmark testing;
Degradation;
Emulation;
Estimation;
Multicore processing;
Registers;
52.
Energy-Aware Bio-signal Compressed Sensing Reconstruction: FOCUSS on the WBSN-Gateway
机译:
能量感知生物信号压缩传感重构:WBSN-Gateway上的Focuss
作者:
Bortolotti Daniele
;
Bartolini Andrea
;
Mangia Mauro
;
Rovatti Riccardo
;
Setti Gianluca
;
Benini Luca
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
biosensors;
compressed sensing;
electrocardiography;
medical signal processing;
power aware computing;
smart phones;
system-on-chip;
telemedicine;
ARM big.LITTLE architecture;
CPU consumption;
CS;
Cortex-A15;
Cortex-A7;
ECG;
FOCUSS;
J-window;
QoS-energy trade-offs;
WBSN gateway;
battery powered devices;
bio-sensing nodes;
continuous vital signs monitoring;
electrocardiogram;
energy-aware bio-signal compressed sensing reconstruction;
features extraction;
mobile SoC;
online reconstruction;
rakeness-based compressed sensing;
signal reconstruction algorithm;
smartphone;
ultra-low power wearable bio-sensors;
wellness applications;
wireless body sensor network;
Decoding;
Electrocardiography;
Logic gates;
Monitoring;
Real-time systems;
Sensors;
Standards;
Bio-signal Reconstruction;
Compressed Sensing;
ECG;
Energy-efficiency;
FOCUSS;
Rakeness;
big. LITTLE;
53.
Contention-Free Routing for Hybrid Photonic Mesh-Based Network-on-Chip Systems
机译:
基于混合光子网状网络的网络系统的无争用路由
作者:
Ben Ahmed Achraf
;
Okuyama Yuichi
;
Ben Abdallah Abderazek
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
network routing;
network-on-chip;
optical logic;
ETE latency;
PHENIC-II system;
contention-free routing;
conventional hybrid PNoC systems;
electronic control module;
end-to-end latency;
end-to-end optical data transfer;
future generation many-core systems;
highly scalable architectures;
interconnect bottleneck;
optical network;
path-setup algorithm;
photonic mesh-based network-on-chip systems;
photonic networks-on-chip;
power efficiency;
Algorithm design and analysis;
Modulation;
Optical packet switching;
Optical resonators;
Optical switches;
Photonics;
Routing;
Contention-free;
Low power;
Photonic NoC;
Routing;
54.
Automatic Runtime Customization for Variability Awareness on Multicore Platforms
机译:
用于多核平台的可变性意识的自动运行时自定义
作者:
Ayad Gasser
;
Nittala Ramakrishna
;
Lemaire Romain
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
CMOS integrated circuits;
energy consumption;
lithography;
microprocessor chips;
multiprocessing systems;
power aware computing;
software libraries;
aggressive CMOS technology scaling;
automatic runtime customization;
automotive systems;
avionic infotainment systems;
energy consumption levels;
energy efficiency;
energy inefficiency;
multicore platform;
multicore platforms;
per-core manufactured clock frequency;
performance degradation;
power consumption levels;
runtime customization paradigm;
runtime engines;
runtime library;
semiconductors fabrication process;
subwavelength lithography;
task allocation decisions;
variability awareness;
variability-aware workload sharing;
Hardware;
Multicore processing;
Resource management;
Runtime;
Runtime library;
Software;
Unified modeling language;
55.
Adaptive Time-Based Least Memory Intensive Scheduling
机译:
基于自适应时间的最小内存密集的调度
作者:
Elhelw Amr Saleh
;
El-Moursy Ali
;
Fahmy Hossam Ali Hassan
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
DRAM chips;
multiprocessing systems;
processor scheduling;
DRAM memory;
adaptive TB-LMI;
adaptive time-based least memory intensive scheduling;
application interfere;
memory access scheduling techniques;
memory requests;
memory system performance;
multicore system;
overall multicore system fairness;
overall multicore system performance;
system throughput;
Benchmark testing;
Hardware;
Instruction sets;
Memory management;
Registers;
Scheduling;
Scheduling algorithms;
Memory Controller;
Memory Interference;
Multi-core;
Shared Resources;
56.
Why Race-to-Finish is Energy-Inefficient for Continuous Multimedia Workloads
机译:
为什么比赛完成是连续多媒体工作负载的能量效率低
作者:
Stokke Kristoffer Robin
;
Stensland Hakon Kvalse
;
Halvorsen Pal
;
Griwodz Carsten
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
image filtering;
system-on-chip;
video signal processing;
Tegra K1;
application deadlines;
average error;
continuous multimedia workloads;
dynamic power model;
energy conservation;
energy efficiency;
energy saving;
memory frequency minimisation;
mobile architectures;
power rails;
processor frequency minimisation;
race-to-finish approach;
static power model;
total power usage measurement;
video processing filter;
Clocks;
Current measurement;
Frequency estimation;
Leakage currents;
Power measurement;
Rails;
Voltage measurement;
Energy;
modelling;
multimedia;
optimisation;
power;
57.
Hierarchical Library Based Power Estimator for Versatile FPGAs
机译:
基于分层库的多功能FPGA的电力估算器
作者:
Hao Liang
;
Yi-Chung Chen
;
Tao Luo
;
Wei Zhang
;
Hai Li
;
Bingsheng He
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
field programmable gate arrays;
parallel processing;
power aware computing;
resistive RAM;
FPGA architectures;
RRAM FPGA power estimation;
big-data processing;
cloud computing;
coarse-grain power estimation;
complexity-accuracy trade-off;
energy-saving considerations;
fine-grain power estimation;
hardware accelerator;
hierarchical library;
hierarchical library based power estimator;
high-performance computing systems;
memory devices;
nanowire interconnection;
nonvolatile memory;
power estimators;
reconfigurable array;
time-multiplexing fashion;
Clocks;
Estimation;
Field programmable gate arrays;
Libraries;
Power demand;
Routing;
Switches;
58.
Communication Aware Design Method for Optical Network-on-Chip
机译:
用于光学网络的通信意识设计方法
作者:
Sepulveda Johanna
;
Le Beux Sebastien
;
Luo Jiating
;
Killian Cedric
;
Chillet Daniel
;
Hui Li
;
Connor Ian O.
;
Sentieys Olivier
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
multiprocessor interconnection networks;
network-on-chip;
system-on-chip;
Gem5;
MPSoC;
area penalties;
channel bandwidth design space;
communication aware design method;
high speed interconnections;
multiprocessor systems-on-chip;
optical communication;
optical network-on-chip;
optical technology;
performance guarantees;
power penalties;
Bandwidth;
Communication channels;
Optical buffering;
Optical interconnections;
Optical waveguides;
Runtime;
Design exploration;
Interface;
Network-on-Chip;
Silicon Photonics;
component;
59.
Implementation and Modeling for High-performance I/O Hub Used in SPARC M7 Processor-Based Servers
机译:
基于SPARC M7处理器的高性能I / O集线器的实现与建模
作者:
Feehrer John
;
Hughes Jeffry
;
Kurth Hugh
;
Pabisz David
;
Yakutis Peter
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
application specific integrated circuits;
microprocessor chips;
multi-threading;
multiprocessing systems;
multiprocessor interconnection networks;
ASIC;
CMT technology;
Gen3 PCIe devices;
SPARC M7 processor-based servers;
SPARC M7 protocol interface units;
chip multithreading technology;
high-performance I/O hub;
interconnect design strategy;
Object oriented modeling;
Performance evaluation;
Program processors;
Routing;
Switches;
Virtualization;
ASIC;
I/O virtualization;
PCI-Express (PCIe);
SPARC;
SerDes;
interconnect;
on-chip network;
performance modeling;
source-synchronous clocking;
60.
A Performance Prediction for Automatic Placement of Heterogeneous Workloads on Many-cores
机译:
许多核心自相工作负载自动放置的性能预测
作者:
Benoit Nicolas
;
Louise Stephane
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
parallel architectures;
parallel programming;
program compilers;
SPMD-type parallelism;
application parts;
automatic heterogeneous workload placement;
compilation flow;
computer architecture;
embedded manycores;
execution time;
heterogeneity;
heterogeneous compute kernels;
heterogeneous targets;
optimal task partitioning;
performance prediction;
single-program data type parallelism;
synchronous execution model;
Computer architecture;
Couplings;
Instruction sets;
Kernel;
Market research;
Predictive models;
Heterogeneity;
Manycores;
Performance prediction;
61.
On the Load Balancing Techniques for GPU Applications Based on Prefix-Scan
机译:
基于前缀扫描的GPU应用的负载平衡技术
作者:
Busato Federico
;
Bombieri Nicola
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graphics processing units;
resource allocation;
GPU applications;
GPU device characteristics;
GPU threads;
Prefix-scan;
advanced dynamic technique;
dynamic work-unit mapping;
load balancing techniques;
multiphase search;
parallel applications;
workload decomposition strategies;
workload mapping strategies;
Arrays;
Graphics processing units;
Indexes;
Instruction sets;
Message systems;
Registers;
Search problems;
62.
The Approximate Discrete Radon Transform: A Case Study in Auto-Tuning of OpenCL Implementations
机译:
近似离散氡变换:OpenCL实现自动调整的案例研究
作者:
Bucker H. Martin
;
Seidler Ralf
;
Neuhauser David
;
Beier Tobias
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
Radon transforms;
discrete transforms;
graphics processing units;
parallel architectures;
software performance evaluation;
OpenCL auto-tuning;
OpenCL program performance optimization;
approximate discrete radon transform;
auto-tuned OpenCL program;
graphics processing unit;
hand-tuned CUDA;
heterogenous computing system;
high-performance computing;
open computing language;
Arrays;
Graphics processing units;
Kernel;
Radon;
Runtime;
Transforms;
Radon transform;
auto-tuning;
graphics processing units;
high-performance computing;
performance optimization;
63.
Expandable Chip Stacking Method for Many-core Architectures Consisting of Tiny Chips
机译:
用于组成的微型芯片的许多核心架构的可扩展芯片堆叠方法
作者:
Nakahara Hiroshi
;
Ozaki Tomoya
;
Matsutani Hiroki
;
Koibuchi Michihiro
;
Amano Hideharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
system-on-chip;
NAS parallel benchmark;
SoC;
TCI;
deadlock-free routing design;
expandable chip stacking method;
inductive coupling thruchip interface;
interchip communication;
many-core architecture;
staggered stacking method;
system-on-chip;
Coils;
Network topology;
Routing;
Stacking;
System recovery;
Three-dimensional displays;
Topology;
Inductive coupling interconnect;
Interconnection network;
64.
3D Shared Bus Architecture Using Inductive Coupling Interconnect
机译:
3D使用电感耦合互连的共享总线架构
作者:
Nomura Akio
;
Fujita Yu
;
Matsutani Hiroki
;
Amano Hideharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
carrier sense multiple access;
digital simulation;
multiprocessor interconnection networks;
time division multiple access;
3D chip stacking;
3D multiprocessor;
3D shared bus architecture;
A-TDMA bus;
CSMA-CD protocol;
DTDMA;
GEM5 simulator;
RS-TDMA;
STDMA;
TCI;
asynchronous TDMA bus;
bus bandwidth;
dynamic time division multiple access;
four-chip stack;
inductive coupling interconnect;
inductive coupling wireless thru-chip interface;
minimum-load latency;
resonant synchronous TDMA bus;
semiconductor area per chip;
static time division multiple access;
Clocks;
Coils;
Couplings;
Receivers;
Synchronization;
Three-dimensional displays;
Time division multiple access;
65.
CLTune: A Generic Auto-Tuner for OpenCL Kernels
机译:
Cltune:用于OpenCL内核的通用自动调谐器
作者:
Nugteren Cedric
;
Codreanu Valeriu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
parallel programming;
public domain software;
software libraries;
2D convolution;
CLTune;
GPU;
OpenCL kernels;
auto-tuner;
matrix-multiplication;
open-source;
Convolution;
Kernel;
Performance evaluation;
Search problems;
Simulated annealing;
Tuners;
Auto-Tuning;
Convolution;
GEMM;
GPU;
OpenCL;
66.
Managing the Latency of Data-Dependent Tasks in Embedded Streaming Applications
机译:
管理嵌入式流应用中数据相关任务的延迟
作者:
Xuan Khanh Do
;
Louise Stephane
;
Cohen Albert
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
embedded systems;
graph theory;
programming languages;
scheduling;
CSDF graph;
IPC times;
STS;
cyclo-static dataflow graph;
data-dependent tasks;
embedded many-core application;
embedded streaming application;
interprocessor communication times;
latency constraint;
latency management;
periodic scheduling;
realtime embedded systems;
self-timed scheduling;
streaming languages;
timing constraints;
Computational modeling;
Digital audio players;
Processor scheduling;
Real-time systems;
Schedules;
Scheduling;
Throughput;
Cyclo-Static Dataflow;
Fault-tolerant;
Latency;
Real-time;
Scheduling;
67.
Memory Access Analysis of Many-core System with Abundant Bandwidth
机译:
具有丰富带宽的多核系统内存访问分析
作者:
Chuan Tang
;
Dan Liu
;
Zuocheng Xing
;
Peng Yang
;
Zhe Wang
;
Jiang Xu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
microprocessor chips;
multiprocessing systems;
optical interconnections;
64 cores system;
abundant bandwidth;
architecture trend;
memory access;
memory access analysis;
memory wall;
on-chip many-core system;
optical interconnect;
Bandwidth;
Benchmark testing;
Computers;
Delays;
Hidden Markov models;
Optical interconnections;
Random access memory;
Cache;
abundant bandwidth;
many-core;
optical interconnect;
68.
FACE: Fast and Customizable Sorting Accelerator for Heterogeneous Many-core Systems
机译:
面部:用于异构多核系统的快速可定制的分类加速器
作者:
Kobayashi Ryohei
;
Kise Kenji
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
sorting;
RTL source code;
frequency 3.4 GHz;
heterogeneous many-core systems;
merge sorter tree;
open-source hardware;
register transfer level;
sorting acceleration method;
sorting network;
Acceleration;
Field programmable gate arrays;
Hardware;
Radiation detectors;
Random access memory;
Shift registers;
Sorting;
Customizable;
Fast;
Hardware specialization;
Open source;
Sorting;
69.
A Scalable and Fast Microprocessor Design Space Exploration Methodology
机译:
可扩展和快速的微处理器设计空间探索方法
作者:
Lei Wang
;
Yuxing Tang
;
Yu Deng
;
Fangyan Qin
;
Qiang Dou
;
Guangda Zhang
;
Feipeng Zhang
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graph theory;
logic design;
multiprocessing systems;
CPADSE methodology;
Plackett-Burman design method;
SA-CPADSE;
TB-CPADSE;
critical path analysis directed design space exploration;
dependence graph model;
microarchitecture parameters;
microprocessor design space exploration methodology;
multicore processors;
profile information;
Algorithm design and analysis;
Benchmark testing;
Computational modeling;
Design methodology;
Microarchitecture;
Sensitivity analysis;
Space exploration;
Critical Path Analysis;
Design Space Exploration;
Microprocessor;
70.
Abstracting Parallel Programming and Its Analysis Towards Framework Independent Development
机译:
抽象并行编程及其对框架独立发展的分析
作者:
Arndt Oliver Jakob
;
Lefherz Tile
;
Blume Holger
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
parallel programming;
program diagnostics;
automated in-line profiling methodology;
framework independent development;
parallel programming;
platform independent abstraction layer;
video-based driver-assistance algorithms;
Algorithm design and analysis;
Delays;
Hardware;
Probes;
Program processors;
Runtime;
Abstraction Layer;
Advanced Driver Assistance Systems;
Parallelization Frameworks;
Profiling;
71.
Reconfigurable IBM PC Compatible SoC for Computer Architecture Education and Research
机译:
可重新配置的IBM PC兼容计算机体系结构教育和研究的SoC
作者:
Ogawa Eri
;
Matsuda Yuki
;
Misono Tomohiro
;
Kobayashi Ryohei
;
Kise Kenji
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
computer aided instruction;
computer architecture;
computer science education;
field programmable gate arrays;
hardware description languages;
system-on-chip;
Altera FPGA;
L2 cache;
SPEC CPU2000 INT benchmark suite;
Verilog HDL;
Xilinx FPGA;
computer architecture education;
computer architecture research;
field programmable gate array;
hardware description language;
high performance computer systems;
reconfigurable IBM PC compatible SoC;
system-on-chip;
Computers;
Field programmable gate arrays;
Hardware;
Hardware design languages;
IP networks;
Process control;
Random access memory;
Education;
FPGA;
Reconfigurable-System;
SoC;
x86;
72.
Towards Automatic Code Generation of Run-Time Power Management for Embedded Systems Using Formal Methods
机译:
朝向使用正式方法的嵌入式系统的自动代码生成运行时间电源管理
作者:
Fathabadi Asieh Salehi
;
Maeda-Nunez Luis Alfonso
;
Butler Michael J.
;
Al-Hashimi Bashir M.
;
Merrett Geoff V.
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
Linux;
embedded systems;
formal verification;
power aware computing;
program compilers;
theorem proving;
Event-B formal modelling language;
Linux governor;
RTM system code;
automatic code generation;
embedded systems;
formal methods;
model checking;
run-time power management;
theorem proving;
video decoder;
Algorithm design and analysis;
Decoding;
Hardware;
Learning (artificial intelligence);
Mathematical model;
Monitoring;
Prediction algorithms;
73.
FTTDOR: Microring Fault-resilient Optical Router for Reliable Optical Network-on-Chip Systems
机译:
FTTDOR:用于可靠的光学网络系统的微型故障弹性光学路由器
作者:
Meyer Michael Conrad
;
Ben Ahmed Akram
;
Okuyama Yuichi
;
Ben Abdallah Abderazek
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
fault tolerance;
integrated optoelectronics;
micro-optics;
network routing;
network-on-chip;
optical interconnections;
optical switches;
three-dimensional integrated circuits;
3D networks-on-chip;
FTTDOR;
electrical control module;
fault tolerance;
fault tolerant three dimensional optical router;
microring fault resilient optical router;
microring resonators;
photonic networks-on-chip;
reliable optical network-on-chip systems;
Circuit faults;
Fault tolerance;
Optical resonators;
Optical switches;
Ports (Computers);
Routing;
3D-NoCs;
Control Router;
Fault-tolerant;
Hybrid;
Optical Router/Switch;
74.
ADRENALINE: An OpenVX Environment to Optimize Embedded Vision Applications on Many-core Accelerators
机译:
肾上腺素:一个OpenVX环境,以优化在许多核心加速器上的嵌入式视觉应用程序
作者:
Tagliavini Giuseppe
;
Haugou Germain
;
Marongiu Andrea
;
Benini Luca
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
computer vision;
embedded systems;
multiprocessing systems;
optimisation;
system-on-chip;
ADRENALINE;
OpenVX applications;
OpenVX environment;
clustered many-core accelerator;
computer vision algorithms;
embedded vision applications;
heterogeneous SoC;
many-core accelerators;
optimization contexts;
optimized OpenVX run-time system;
pervasive applications;
virtual platform;
Bandwidth;
Context;
Hardware;
Kernel;
Optimization;
Programming;
Standards;
OpenVX;
accelerator;
embedded vision;
virtual platform;
75.
Measuring Predictability of Nvidia's GPU Schedulers: Application to the Summation Problem
机译:
测量NVIDIA的GPU调度仪的可预测性:在求和问题上的应用
作者:
Defour David
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graphics processing units;
multiprocessing systems;
parallel architectures;
scheduling;
CUDA tests;
G80 architecture;
GK104 architecture;
Nvidia GPU schedulers;
atomic addition;
block scheduler;
concurrent threads;
floating-point numbers;
massively multicore architectures;
summation problem;
warp scheduler;
Atomic measurements;
Clocks;
Computer architecture;
Graphics processing units;
Hardware;
GPU;
WCET;
predictability;
scheduling;
76.
A CGRA-Based Approach for Accelerating Convolutional Neural Networks
机译:
基于CGRA的加速卷积神经网络的方法
作者:
Tanomoto Masakazu
;
Takamaeda-Yamazaki Shinya
;
Jun Yao
;
Nakashima Yasuhiko
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
distributed memory systems;
embedded systems;
graphics processing units;
learning (artificial intelligence);
multiprocessing systems;
neural nets;
performance evaluation;
reconfigurable architectures;
CGRA-based approach;
CNN accelerator;
GPU-based hardware approach;
application-specific hardware approach;
coarse grained reconfigurable architecture;
convolutional neural network;
distributed scratchpad memory block;
low-power embedded system;
machine learning application;
memory bandwidth pressure;
multicore CPU;
Acceleration;
Arrays;
Bandwidth;
Convolution;
Hardware;
Machine learning;
Neural networks;
Accelerator Architecture;
CGRA;
Convolutional Neural Networks;
77.
GPU Particle Swarm Optimization Applied to Travelling Salesman Problem
机译:
GPU粒子群优化适用于旅行推销员问题
作者:
Bali Olfa
;
Elloumi Walid
;
Kromer Pavel
;
Alimi Adel M.
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graphics processing units;
mathematics computing;
parallel architectures;
particle swarm optimisation;
travelling salesman problems;
GPU particle swarm optimization;
GPU-PSO-A-TSP;
NVIDIA;
bio-inspired algorithms;
compute unified device architecture;
graphic processing unit;
price-to-power ratio;
sequential CPU implementation;
travelling salesman problem;
Birds;
Graphics processing units;
Optimization;
Particle swarm optimization;
Search problems;
Sociology;
Statistics;
CUDA;
GPU;
Optimization;
PSO;
TSP;
78.
Top-Down Profiling of Application Specific Many-core Neuromorphic Platforms
机译:
自上而下的应用程序特定的许多核心神经形态平台
作者:
Urgese Gianvito
;
Barchi Francesco
;
Macii Enrico
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
neural nets;
SNN configuration;
SpiNNaker neuromorphic many-core platform;
application specific many-core neuromorphic platform;
biologically plausible SNN simulation;
densely interconnected platforms;
neuron population activity;
spiking neural network;
top-down profiling;
Analytical models;
Biological system modeling;
Brain modeling;
Neurons;
Routing;
Sociology;
Statistics;
Many-core;
Multi-chip;
Neuromorphic;
Profiling;
79.
Improving Auto-Tuning Convergence Times with Dynamically Generated Predictive Performance Models
机译:
用动态产生的预测性能模型提高自动调整融合时间
作者:
Price James
;
McIntosh-Smith Simon
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
programming;
auto-tuning convergence times;
auto-tuning techniques;
dynamically generated predictive performance models;
processor architecture;
Genetic algorithms;
Predictive models;
Regression tree analysis;
Runtime;
Sociology;
Statistics;
Tuning;
OpenCL;
auto-tuning;
dedispersion;
genetic algorithm;
image processing;
performance portability;
regression tree;
80.
The Network Performance Analysis Platform and Its Application to Network Buffer Evaluation of the Embedded System
机译:
网络性能分析平台及其在网络缓冲区评估嵌入式系统的应用
作者:
Sakurai Yuichi
;
Shimbo Kenichi
;
Toba Tadanobu
;
Osaka Hideki
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
buffer storage;
computer network performance evaluation;
embedded systems;
large-scale systems;
system buses;
embedded large-scale data processing system;
network buffer evaluation;
network performance analysis platform;
packet transmission capacity;
shared bus timing model;
Data models;
Hardware;
Hardware design languages;
Load modeling;
Mathematical model;
Memory management;
Program processors;
Embedded System;
Network simulation;
Serial RapidIO;
interconnect network;
81.
An Enhanced Profiling Framework for the Analysis and Development of Parallel Primitives for GPUs
机译:
用于分析和开发GPU的平行基元的分析和开发增强的分析框架
作者:
Bombieri Nicola
;
Busato Federico
;
Fummi Franco
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
graphics processing units;
parallel programming;
GPU;
GPU profiler;
directive-based programming models;
graphics processing unit;
many-core architecture;
parallel primitives;
primitive-based programming model;
profiling framework;
software applications parallelization;
Graphics processing units;
Instruction sets;
Kernel;
Libraries;
Optimization;
Synchronization;
82.
Enhancement of Incremental Performance Parameter Estimation on ppOpen-AT
机译:
增强PPOPEN - at的增量性能参数估计
作者:
Murata Riku
;
Irie Jun
;
Fujii Akihiro
;
Tanaka Teruo
;
Katagiri Takahiro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
conjugate gradient methods;
least squares approximations;
sampling methods;
software performance evaluation;
splines (mathematics);
2D parameter space;
QR decomposition;
algebraic multigrid method;
brute-force search method;
conjugate gradient method;
d-Spline function;
data fitting function;
discretized spline function;
incremental performance parameter estimation;
least-squares problem;
ppOpen-AT framework;
sampling parameter value;
sampling point;
software automatic performance tuning;
Estimation;
Jacobian matrices;
Mathematical model;
Matrix decomposition;
Memory management;
Parameter estimation;
Splines (mathematics);
Auto-Tuning;
Data Fitting Function;
Performance Parameter Optimization;
83.
On the Design of Reliable Hybrid Wired-Wireless Network-on-Chip Architectures
机译:
关于可靠的混合连通无线网络上芯片架构的设计
作者:
Agyeman Michael Opoku
;
Ji-Xiang Wan
;
Quoc-Tuan Vien
;
Wen Zong
;
Yakovlev Alex
;
Tong Kenneth
;
Mak Terrence
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
network-on-chip;
system-on-chip;
SoC;
WiNoC;
communication fabric;
free space signal radiation;
frequency 60 GHz;
millimeter wave communication;
system-on-chip;
wired-wireless network-on-chip architecture;
Bit error rate;
Fabrics;
Reliability;
Surface waves;
Transceivers;
Transducers;
Wireless communication;
Communication Fabric;
Hybrid Wireless Network-on-Chip;
Network-on-Chip;
Reliability;
WiNoC;
84.
Dynamic VC Organization for Efficient NoC Communication
机译:
动态VC组织以实现高效的NOC通信
作者:
Oveis-Gharan Masoud
;
Khan Gul N.
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
network-on-chip;
2D-mesh NoC;
DAMQ input-port;
DAMQ-based technique;
NoC communication;
NoC traffic pattern;
dynamic VC organization methodology;
dynamically allocated multiqueue;
network-on-chip;
virtual channel;
Clocks;
Delays;
Hardware;
Multiplexing;
Organizations;
Ports (Computers);
Switches;
NoC router design;
channel buffer organization;
fast dynamic VCs;
on-chip communication;
85.
Cross by Pass-Mesh Architecture for On-chip Communication
机译:
通过用于片上通信的Pass-Mesh架构交叉
作者:
Gulzari Usman Ali
;
Anjum Sheraz
;
Agha Shahrukh
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessor interconnection networks;
network topology;
network-on-chip;
power aware computing;
2DDgl-mesh topology;
Clt;
supgt;
2lt;
/supgt;
-mesh topology;
CBP-mesh topology;
NoC;
SD-mesh topology;
SoC;
X-mesh topology;
area utilization;
cross by pass-mesh architecture;
network-on-chip;
on chip communication architectures;
power consumption;
system on chip;
topology characteristic;
tours topology;
Complexity theory;
Computer architecture;
Network topology;
Routing;
System-on-chip;
Throughput;
Topology;
Network-on-chip;
Router;
System-on-chip;
Topology design;
86.
Predictable Application Mapping for Manycore Real-Time and Cyber-Physical Systems
机译:
用于多核实时和网络物理系统的可预测应用映射
作者:
Kanduri Anil
;
Rahmani Amir-Mohammad
;
Liljeberg Pasi
;
Tenhunen Hannu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
multiprocessing systems;
real-time systems;
cyber-physical systems;
manycore real-time systems;
network contention;
network predictability;
predictable application mapping;
traffic patterns;
Context;
Correlation;
Multicore processing;
Prediction algorithms;
Real-time systems;
Switches;
Timing;
Application mapping;
Cyber-Physical Systems (CPS);
Networks-on-chip (NoC);
Predictability;
87.
Enabling Scalable and Fine-Grained Nested Parallelism on Embedded Many-cores
机译:
在嵌入式多核上启用可扩展和细粒度的嵌套并行性
作者:
Capotondi Alessandro
;
Marongiu Andrea
;
Benini Luca
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
cache storage;
embedded systems;
multiprocessing systems;
parallel programming;
system-on-chip;
PMCA;
STMicroelectronics STHORM many-core;
SoC;
distributed memory with nonuniform access;
embedded many-cores;
embedded systems;
fine-grained parallelism;
heterogeneous systems-on-chip;
nested parallelism;
parallel team configuration;
parallel thread creation overhead;
programmable manycore accelerator;
programming abstraction;
software cache mechanism;
Fabrics;
Instruction sets;
Message systems;
Parallel processing;
Programming;
Recruitment;
Embedded Many-Core Architectures;
OpenMP;
Parallel Programming Models;
88.
Invited speakers
机译:
邀请扬声器
作者:
De Micheli Giovanni
;
Benini Luca
;
Nakamura Yuichi
;
Zafalon Roberto
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2015年
关键词:
Internet of Things;
power aware computing;
social aspects of automation;
system-on-chip;
Internet of Things;
IoT;
MCSoC;
digital nanotechnology;
energy-neutral computational sensing;
smart systems;
social value creation;
system-on-chip;
89.
Performance Validation of the Multicore SoC for Spacecraft Applications
机译:
用于航天器应用的多核SoC的性能验证
作者:
Wang Feiyao
;
Wang Wenyan
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Computer bugs;
Field programmable gate arrays;
Manufacturing;
Multicore processing;
Radiation effects;
System-on-chip;
Testing;
Irradiation hardened performance;
Multicore SoC;
Performance validation;
Post-silicon validation;
Spacecraft application;
Test bench;
90.
Automatic Glossing Services for E-learning Cloud Environments
机译:
用于电子学习云环境的自动光泽服务
作者:
Cortez Ruth
;
Vazhenin Alexander
;
Brine John
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Electronic learning;
Electronic publishing;
Encyclopedias;
Service-oriented architecture;
Vocabulary;
Cloud Computing;
E-learning;
Service Oriented Architecture (SOA);
Web Services;
91.
Design of a Coarse-Grained Processing Element for Matrix Multiplication on FPGA
机译:
FPGA矩阵乘法粗粒处理元件的设计
作者:
Okuyama Yuichi
;
Takano Shigeyuki
;
Shirai Tokimasa
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Clocks;
Digital signal processing;
Equations;
Field programmable gate arrays;
Registers;
FPGA;
SIMD processor;
matrix multiplication;
92.
Stochastic Analysis of Epidemic Routing Based Anycast in Throwbox-Equipped DTNs
机译:
基于流行路由的流行路由的随机分析,配备旧掷箱的DTNS
作者:
Zeng Deze
;
Teng Chao
;
Yao Hong
;
Liang Qingzhong
;
Hu Chengyu
;
Yan Xuesong
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Accuracy;
Delays;
Markov processes;
Mobile nodes;
Relays;
Routing;
Delay tolerant networks;
anycast;
performance evaluation;
stochastic analysis;
93.
Adaptive V-Set Cache for Multi-core Processors
机译:
多核处理器的Adaptive V-Set高速缓存
作者:
Moursy Ali A.El
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Benchmark testing;
Complexity theory;
Microprocessors;
Multicore processing;
Radiation detectors;
Scalability;
Throughput;
Adaptive cache;
Cache design;
Multi-core;
NUCA;
94.
An Analysis Tool for a Programming Contest for High-School Students
机译:
高中生编程竞赛分析工具
作者:
Furuya Shota
;
Yanai Katsuki
;
Yoshioka Rentaro
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Graphical user interfaces;
Informatics;
Market research;
Programming profession;
Real-time systems;
analysis;
competitive learning;
e-learning;
programming contest;
95.
Towards Latency-Aware Data Acquisition in Wireless Sensor Network
机译:
对无线传感器网络中的延迟感知数据采集
作者:
Ke Huan
;
Guo Song
;
Miyazaki Toshiaki
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Clustering algorithms;
Data acquisition;
Heuristic algorithms;
Mobile communication;
Mobile computing;
Routing;
Wireless sensor networks;
WSN;
latency;
mobile sinks;
96.
Application of GPU to Three Computational Models
机译:
GPU在三种计算模型中的应用
作者:
Shi Qiangqiang
;
Yang Yiyang
;
Li Xiaolin
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Capacitance;
Clocks;
Electronic mail;
Logic gates;
MOS devices;
Monitoring;
Transistors;
American option pricing;
GPGPU;
gas dynamics;
spring model;
97.
Smart Cloud-based Implementation of a Learning Style Index
机译:
基于智能云的学习风格索引的实现
作者:
Hamada Mohamed
;
Muhammed Aree
;
Tufan Kadir
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Electronic mail;
Indexes;
Large scale integration;
Materials;
Visualization;
cloud computing;
learning style index;
mobile computing;
smart devices;
98.
Are Mobile Devices More Useful than Conventional Means as Tools for Learning Vocabulary?
机译:
移动设备是否比传统方式更有用作学习词汇的工具?
作者:
Lee Piyu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Educational institutions;
Interviews;
Mobile communication;
Smart phones;
Vocabulary;
English as a Foreign Language (EFL);
mobile devices;
smartphones;
tablet PC;
technology;
vocabulary learning;
99.
Cloud-Based Service for eBooks Using EPUB under the Aspect of Learning Analytics
机译:
基于云的服务,用于使用EPUB在学习分析的方面
作者:
Ebner Martin
;
Prettenthaler Christoph
;
Hamada Mohamed
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Databases;
Educational institutions;
Electronic publishing;
HTML;
Multimedia communication;
Prototypes;
Servers;
cloud based;
ebooks;
information system;
learning analytics;
100.
A Thermal Management System for Building Block Computing Systems
机译:
用于构建块计算系统的热管理系统
作者:
Fujita Yu
;
Usami Kimiyoshi
;
Amano Hideharu
会议名称:
《International Symposium on Embedded Multicore/Manycore SoCs》
|
2014年
关键词:
Arrays;
Heating;
Monitoring;
Semiconductor device measurement;
Temperature;
Temperature measurement;
Temperature sensors;
3D stack;
building block computing system;
thermal management;
意见反馈
回到顶部
回到首页