掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual SEMI Advanced Semiconductor Manufacturing Conference
Annual SEMI Advanced Semiconductor Manufacturing Conference
召开年:
2013
召开地:
Saratoga Springs, NY(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Advanced Litho-Cluster Control Via Integrated In-Chip Metrology
机译:
通过集成的片内计量控制先进的Litho-Cluster控制
作者:
Kaustuve Bhattacharyya
;
Henk-Jan H. Smilde
;
Arie den Boef
;
Andreas Fuchs
;
Steffen Meyer
;
Chih-Ming Ke
;
Guo-Tsai Huang
;
Kai-Hsiung Chen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Integrated metrology;
Overlay;
Focus;
Control;
In-die;
In-chip;
2.
An Effective Problem Decomposition Method for Scheduling of Diffusion Processes Based on Mixed Integer Linear Programming
机译:
一种有效的问题分解方法,用于基于混合整数线性规划的扩散过程调度
作者:
Chihyun Jung
;
Detlef Pabst
;
Myoungsoo Ham
;
Marcel Stehli
;
Marcel Rothe
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
3.
E-beam Inspection for Gap Physical Defect Detection in 28nm CMOS Process
机译:
28NM CMOS工艺中间隙物理缺陷检测的电子束检测
作者:
Tsung Chih Chen
;
Chen Nan Tsai
;
White Pai
;
Curious Wu
;
Luke Lin
;
Fei Wang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
EBI;
CMOS;
Physical defect;
4.
Equipment automation framework with embedded Interface-A
机译:
设备自动化框架与嵌入式接口-A
作者:
Kinauer Jochen
;
Muller Bert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
5.
Equipment Automation Framework with embedded Interface-A
机译:
设备自动化框架与嵌入式接口-A
作者:
Jochen Kinauer
;
Bert Muller
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
6.
Die level defects detection in semiconductor units
机译:
半导体单元中的芯片水平缺陷检测
作者:
Said Asaad F.
;
Patel Nital S.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Segmentation;
defect detection;
die level defects;
feature analysis;
shape analysis;
7.
Full Wafer Nanotopography Analysis on Rough Surfaces Using Stitched White Light Interferometry Images
机译:
使用缝合白光干涉测量图像粗糙表面的全晶圆纳米图分析
作者:
Dirk Lewke
;
Martin Schellenberger
;
Lothar Pfitzner
;
Thomas Fries
;
Bastian Troger
;
Alexander Muehlig
;
Frank Riedel
;
Stefan Bauer
;
Hubert Wihr
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Nanotopography;
Wafer manufacturing;
Stitching;
Optical measurement;
Gaussian filtering;
8.
Improving electric behavior and simplifying production of Si-based diodes by using thermal laser separation
机译:
采用热激光分离改善电动行为,简化基于SI基二极管的生产
作者:
Koitzsch Matthias
;
Lewke Dirk
;
Schellenberger Martin
;
Kolb Robert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Kerfless;
damage-free;
electric behavior;
laser dicing;
p-n-junction;
9.
Optimizing Inspection Recipe by using Virtual Inspector Virtual Analyzer and Failure Bitmap
机译:
使用虚拟检查器虚拟分析仪和故障位图优化检查配方
作者:
Roma Jang
;
Dongchul Ihm
;
Byoungho Lee
;
Poh Boon Yong
;
George Simon
;
Jian Wu
;
Graham Lynch
;
Gangadharan Sivaraman
;
Chang Ho Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Defect inspection;
Recipe optimization;
Bitmap;
Yield learning;
VIVA;
BitPower;
Hot spot;
DOI;
Klarity;
Overlay;
10.
Full wafer nanotopography analysis on rough surfaces using stitched white light interferometry images
机译:
使用缝合白光干涉测量图像粗糙表面的全晶圆纳米图分析
作者:
Lewke Dirk
;
Schellenberger Martin
;
Pfitzner Lothar
;
Fries Thomas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Gaussian filtering;
nanotopography;
optical measurement;
stitching;
wafer manufacturing;
11.
Case Studies of Fault Isolation for the Global Failing Patterns on SRAM Bitmap caused by the Defects in Peripheral Logic Regions
机译:
外围逻辑区域缺陷引起的SRAM位图对全球失败模式的故障隔离案例研究
作者:
Jianhua Yin
;
Jian Yu
;
Sheng Xie
;
Dapeng Sun
;
Yong Ern Ling
;
Zhigang Song
;
Carl E. Schiller
;
Genadi Teverskoy
;
Manuel J. Villalobos
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Fault isolation;
Global failing patterns;
SRAM bitmap;
Yield learning;
12.
Deploying an Equipment Health monitoring dashboard and assessing predictive maintenance
机译:
部署设备健康监控仪表板并评估预测性维护
作者:
Moyne James
;
Iskandar Jimmy
;
Hawkins Parris
;
Walker Toysha
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Advanced Process Control;
Equipment Health Monitoring;
PHM;
PdM;
Predictive Maintenance;
Prognostics Health Management;
unscheduled downtime;
13.
Recent Advances in Memory Technology
机译:
内存技术的最新进展
作者:
Dick James
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Memory;
DRAM;
Flash memory;
Embedded memory;
14.
Efficiency in sealing: A BKM case study
机译:
密封效率:BKM案例研究
作者:
DALIA VERNIKOVSKY
;
BRAD ECKER
;
SETH URBACH
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
15.
Leveraging Puma DF wafer inspection to characterize root cause of yield loss on an advanced 32 nm HKMG SOI technology device
机译:
利用PUMA DF晶片检测,以先进的32nm HKMG SOI技术装置在屈服损失的根本原因
作者:
Alisa Blauberg
;
Andrew Stamper
;
Daniel Jaeger
;
MaryJane Brodsky
;
Renee Mo
;
Tom Timberlake
;
Gangadharan Sivaraman
;
Jeff Barnum
;
Gary Crispo
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Yield improvement;
Gate module/sector;
16.
Characterization and real time fault detection of vacuum leaks in plasma nitridation tools
机译:
等离子体氮化工具真空泄漏的特征与实时故障检测
作者:
Shepard Joseph F.
;
Reath Mark L.
;
Wilson James K.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
DPN;
RGA;
emission spectra;
plasma nitridation;
vacuum leak;
17.
Feasible Industrial Fabrication of Thin Film Transistor based on Randomized Network of Single Walled Carbon Nanotubes
机译:
基于单壁碳纳米管随机网络的薄膜晶体管可行的工业制造
作者:
Alireza Mousavi
;
Patrizia Lamberti
;
Vincenzo Tucci
;
Veit Wagner
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Carbon nanotube;
Thin film;
Field effect transitor;
Randomized network;
Vacuum filtration deposition;
18.
Maintaining Abatement Efficiency while Increasing Utility Efficiency Using the Applied iSYS Controller
机译:
使用应用的ISYS控制器提高公用事业效率的同时保持减少效率
作者:
Monique McIntosh
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Subfab;
Abatement;
Green factory;
19.
The N2 diluted Application in PECVD NF3 in-situ chamber cleaning for PFC reduction
机译:
用于PFC减少的PECVD NF3原位室清洁N2稀释应用
作者:
Chen M.H.
;
Ni C.T.
;
Su C.H.
;
Chen Y.L.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
NF3 in-situ cleaning;
PFC reduction;
20.
Lean manufacturing improvements supercharging direct observation with ethnography
机译:
精益制造改善通过民族识别提高直接观察
作者:
Giessler Matthias
;
Fagan Gerry
;
Jaggers Dan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Lean/Six Sigma;
direct observation;
ethnography;
semiconductor manufacturing;
technology complexity;
21.
Cu/Ni interface study for bump reliability improvement
机译:
CU / NI接口研究碰撞可靠性改进
作者:
Wang Rung-De
;
Liu Chen-Hsun
;
Hsu Yu-Nu
;
Lo Justin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
THB;
bump;
flip chip;
reliability;
22.
Cu/Ni Interface Study for Bump Reliability Improvement
机译:
CU / NI接口研究碰撞可靠性改进
作者:
Rung-De Wang
;
Chen-Hsun Liu
;
Yu-Nu Hsu
;
Justin Lo
;
Chin-Yu Ku
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Flip chip;
Reliability;
THB;
Bump;
23.
Optimizing multi-station scheduling in consideration of equipment flexibility and loading balance in semiconductor wafer fabrication
机译:
考虑到半导体晶片制造中的设备灵活性和加载平衡的优化多站调度
作者:
Chuang Va-Tang
;
Chang Yi-Hung
;
Dang Jr-Fang
;
Yau Ren-Chyi
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Mixed Integer Programming;
Multi-Station Scheduling;
24.
TSV Density Impact on 3D Power Delivery with High Aspect Ratio TSVs
机译:
TSV密度对3D动力传递的影响,高纵横比TSV
作者:
Huanyu He
;
James J. Q. Lu
;
Zheng Xu
;
Xiaoxiong Gu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
3D integration;
Through-silicon via (TSV);
3D/TSV modeling;
3D Power delivery;
IR drop;
Di/dt noise;
25.
Virtual metrology for prediction of etch depth in a trench etch process
机译:
用于预测沟槽蚀刻过程中蚀刻深度的虚拟计量
作者:
Roeder Georg
;
Schellenberger Martin
;
Pfitzner Lothar
;
Winzer Sirko
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Advanced Process Control;
Stochastic Gradient Boosting;
Virtual Metrology;
26.
Fast and accurate design based binning based on hierarchical clustering with invariant feature vectors for BEOL
机译:
基于分层聚类的快速准确的设计与BEOL的不变特征向量的分层聚类
作者:
Miura Katsuyoshi
;
Soga Yuki
;
Nakamae Koji
;
Kadota Kenichi
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
DBB (design based binning);
DBG (design based grouping);
accuracy;
defect;
geometric mean;
hierarchical clustering;
purity;
27.
SpotMe effective co-optimization of design and defect inspection for fast yield ramp
机译:
Spotme有效共同优化设计和缺陷检测快速产量坡道
作者:
Pan Yan
;
Desineni Rao
;
Lambert Jane
;
Teoh Edward
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
PWQ;
Yield ramp;
defect inspection;
design hotspot;
volume diagnosis;
28.
Automation: Key to cycle time improvement in semiconductor manufacturing
机译:
自动化:半导体制造中循环时间改进的关键
作者:
Sonar Amit
;
Shinde Satyajit
;
Teh Susanto
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Batch Processing;
Cycle Time;
Diffusion Furnace Operation;
Equipment Interface;
Factory Automation;
Filler/Dummy wafers;
Real Time Dispatch;
Wafer Dispatch;
29.
Organizational learning and capital productivity in semiconductor manufacturing
机译:
半导体制造中的组织学习和资本生产力
作者:
Weber Charles
;
Yang Jiting
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
capital productivity;
organizational learning;
semiconductor manufacturing;
30.
Case studies of fault isolation for the global failing patterns on SRAM bitmap caused by the defects in peripheral logic regions
机译:
外围逻辑区域缺陷引起的SRAM位图对全球失败模式的故障隔离案例研究
作者:
Yin Jianhua
;
Yu Jian
;
Xie Sheng
;
Sun Dapeng
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
SRAM bitmap;
fault isolation;
global failing patterns;
yield learning;
31.
Novel Photodefined Polymer-Clad Through-Silicon Via Technology Integrated With Endpoint Detection Using Optical Emission Spectroscopy
机译:
通过使用光发射光谱分式的技术集成的新型光电义聚合物 - 包层通过与端点检测集成
作者:
Paragkumar A. Thadesar
;
Ja Myung Gu
;
Ashish Dembla
;
Sang Jeen Hong
;
Gary S. May
;
Muhannad S. Bakir
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
3D integration;
TSV;
SU-8 cladding;
Optical emission spectroscopy;
Endpoint detection for Si DRIE etch;
32.
Mechanical Characteristics of Thin Dies/Wafers in Three-Dimensional Large-Scale Integrated systems
机译:
三维大规模集成系统中薄模/晶晶体的机械特性
作者:
M. Murugesan
;
T. Fukushima
;
J. C. Bea
;
K. W. Lee
;
M. Koyanagi
;
T. Tanaka
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Ultra-thin silicon;
Young modulus;
Hardness;
Stress-relief method;
Nano-indentation;
33.
Designing Product Workflow for Logistics a Hidden Potential for Cycle Time and Cost Reduction as well as Quality Improvement in High-Tech-Factories
机译:
为物流设计产品工作流程的隐藏潜力,循环时间和降低成本降低以及高科技工厂的质量改进
作者:
Sophia Keil
;
Dietrich Eberts
;
Rainer Lasch
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
Design for logistics;
DfM;
Redesinging product workflow;
Reducing cycle time and costs;
Improve quality by shorter feedback loops;
34.
E-beam inspection for gap physical defect detection in 28nm CMOS process
机译:
28NM CMOS工艺中间隙物理缺陷检测的电子束检测
作者:
Chen Tsung Chih
;
Tsai Chen Nan
;
Pai White
;
Wu Curious
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2013年
关键词:
CMOS;
EBI;
physical defect;
35.
Advancement of microelectronics-grade carbon nanotube materials for NRAM#x00AE; device manufacture
机译:
用于NRAM
® sup>装置制造的微电子级碳纳米管材料的推进
作者:
Lamb James E.
;
Gibbons Stephen
;
Yongqing Jiang
;
Mangelson Kay
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
CNT;
carbon nanotubes;
electronics grade;
embedded memory;
nanotube memory;
semiconductor grade;
36.
Plasma-assisted printing and doping processes for manufacturing few-layer MoS2-based electronic and optoelectronic devices
机译:
等离子体辅助印刷和掺杂工艺用于制造几层MOS2的电子和光电器件
作者:
Liang Xiaogan
;
Nam Hongsuk
;
Wi Sungjin
;
Chen Mikai
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
2D semiconductors;
doping;
memories;
nanoprinting;
photovoltaics;
transistors;
37.
Silicon-Germanium (SiGe) composition and thickness determination via simultaneous smallspot XPS and XRF measurements
机译:
通过同时小型XPS和XRF测量,通过同时小氧化硅 - 锗(SiGe)组成和厚度测定
作者:
Lamp
;
#039
;
herron Benoit
;
Loubet Nicolas
;
Liu Qing
;
Farhat Saiqa
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
SiGe;
XPS;
XRF;
38.
Addressing thin film thickness metrology challenges of 14nm BEOL layers
机译:
解决14nm BEOL层的薄膜厚度计量挑战
作者:
Zhiming Jiang
;
Haupt Ronny
;
Ygartua Carlos
;
Vaid Alok
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Chemical Mechanical Planarization (CMP);
Film stack;
Spectroscopic Ellipsometer;
39.
Environment dependence of analog matching and design-process optimization on a 28LP SoC technology for smart mobile devices
机译:
模拟匹配和设计过程优化对智能移动设备28LP SoC技术的环境依赖性
作者:
Cai M.
;
Sengupta S.
;
Choi J.
;
Qi W.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
DFM;
System on chip;
analog circuits;
design optimization;
manufacturing process;
40.
A CMP solution enabling STT-RAM fabrication using via-less process flow
机译:
一种CMP解决方案,可使用较少的过程流动使STT-RAM制造
作者:
Hassan Sajjad Amin
;
Pakala Mahendra
;
Okazaki Motoya
;
Sin Garrett
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
CMP;
SST-RAM;
contact;
memory;
41.
Wafer thinning for high-density three dimensional integration _ 12-inch wafer-level 3D-LSI program at GINTI
机译:
晶圆减薄用于高密度三维集成_在GINTI的12英寸晶圆级3D-LSI程序
作者:
Murugesan M.
;
Fukushima T.
;
Bea J.C.
;
Hashimoto H.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D-Integration;
Cu-TSV;
Wafer thinning;
42.
People productivity improvement via cloud machine monitor
机译:
人们通过云机器显示器提高生产力
作者:
Chen Y.H.
;
Huang C.J.
;
Wang C.L.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
People productivity improvement;
cloud monitor;
monitor reduction;
virtual metrology;
virtual monitor;
43.
RF characterization of Through Silicon Via test structures in a 3-tier stacked wafer
机译:
通过硅通过测试结构在3层堆叠晶片中的RF表征
作者:
Xu Min
;
Carroll Robert
;
Manem Harika
;
Geer Robert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3DIC;
Cu-Cu bond;
RF;
TSV;
stacked wafers;
44.
3D technology applications market trends key challenges
机译:
3D技术应用市场趋势与关键挑战
作者:
Pizzagalli Amandine
;
Buisson Thibault
;
Beica Rozalia
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D Stacking;
Advanced Packaging;
Interconnects;
Through Silicon Via (TSV);
Vertical integration;
45.
Process monitoring using advanced inspection methodologies - a study with CVD
机译:
使用高级检查方法的过程监控 - CVD的研究
作者:
Gaan S.
;
Zhiguo Sun
;
Sipeng Gu
;
Lee Y.B.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
SURFimage;
defect inspection;
flowable CVD;
haze;
46.
Six Sigma in a semiconductor company
机译:
半导体公司的六西格玛
作者:
Riding Karen D.
;
Bruedern Dirk-Alexander
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Analysis of Variance (ANOVA);
Cause and Effect Diagram (Fishbone);
Define-Measure-Analyze-Improve-Control (DMAIC);
Design for Six Sigma (DFSS);
Design of Experiments (DOE);
Failure Modes and Effects Analysis (FMEA);
Lean;
Lean Six Sigma (L6S);
Measurement System Analysis (MSA);
SIPOC (Supplier-Input-Process-Output-Customer);
Six Sigma;
quality;
semiconductor;
47.
New modular high voltage LDMOS technology based on Deep Trench Isolation and 0.18um CMOS platform
机译:
基于深度沟槽隔离和0.18UM CMOS平台的新型模块化高压LDMOS技术
作者:
Agam Moshe
;
Yao Thierry
;
Suwhanov Agajan
;
Myers Tracy
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
DTI;
LDMOS;
deep trench;
dislocations;
high power;
multi layer epitaxial;
proximity;
stress;
48.
Improving yield through elimination of nitride stringers in 180nm EEPROM process technology
机译:
通过消除180NM EEPROM工艺技术的消除氮化物桁条的产量
作者:
Menon Santosh
;
Agam Moshe
;
Young Roger
;
Cosmin Peter
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
CoSi;
EEPROM;
ONO;
bit-line leakage;
nitride stringer;
49.
Correlation study of white light interferometer measurements with atomic force microscope measurements for post-CMP dishing measurements applied to TSV processing
机译:
白光干涉仪测量对CMP后剥离测量的原子力显微镜测量的相关性研究应用于TSV处理
作者:
Fisher Daniel W.
;
Timoney Padraig
;
Yeong-Uk Ko
;
Vaid Alok
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D Integration;
CMP;
Dishing;
TSV;
White Light Interferometer;
50.
Improved Deep Body Implant on Breakdown Voltage in Super Junction of Vertical VDMOS
机译:
改进的深体植入垂直VDMOS超交界处的击穿电压
作者:
Tan Chan Lik
;
Strasser Marc
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
On Resistance;
Safe Operating Area;
Super-Junction;
Vertical Double Diffused Metal-Oxide Semiconductor;
breakdown voltage;
51.
Assessment of minority-alloy component segregation (e.g. Mn, Al) in back end of line copper trench structures using Kelvin probe technique
机译:
利用Kelvin探针技术评估少数群合金组分偏析(例如MN,Al)的线铜沟沟结构后端
作者:
Nag Joyeeta
;
Kohli Kriti
;
Simon Andrew
;
Krishnan Siddarth
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Al;
BEOL reliability;
Cu interconnects;
Kelvin probe;
Mn;
alloy segregation;
sub-surface detection;
transition metal;
52.
Ellipsometry for cSiGe metrology
机译:
CSige Metrology的椭圆测定法
作者:
Farhat Saiqa
;
Rangarajan Srinivasan
;
Mcardle Timothy J.
;
Steigerwalt Michael
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Ellipsometry;
Ge Concentration;
Thickness;
cSiGe;
metrology;
53.
Benefit of combining metrology techniques for thin SiGe:B layers
机译:
将计量技术与薄SiGE相结合的好处:B层
作者:
Le Cunff D.
;
Nguyen T.
;
Duru R.
;
Abbate F.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Combined metrology;
Ge composition control;
HRXRD;
MBIR;
SE;
doping control;
54.
450mm metrology and inspection: The current state and the road ahead
机译:
450mm计量和检查:当前状态和前方的道路
作者:
Cottle Rand
;
Yathapu Nithin
;
Sieg Katherine
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
450mm;
advanced equipment and materials processes;
advanced metrology and inspection;
equipment reliability and productivity enhancements;
55.
MBIR characterization of Photosensitive Polyimide in high volume manufacturing
机译:
高批量生产中光敏聚酰亚胺的MBIR表征
作者:
Kagalwala Taher E.
;
Erwin Brian M.
;
Calero-DdelC Victoria L.
;
Brovman Yuri M.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D integration;
FEBOL;
FFT;
MBIR;
PSPI;
manufacturing;
measurements;
polyimide;
56.
Line centering yield optimization
机译:
线定期产量优化
作者:
Bickford Jeanne Paulette
;
Hedberg Erik L
;
Perry Troy J
;
Dezfulian Kevin K
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
model to hardware;
performance;
yield;
yield optimization;
57.
Methodologies for fast yield ramp with limited engineering resources utilizing Inline Defect data overlay to SRAM Bitmap failure and Logic Diagnostics
机译:
利用内联缺陷数据覆盖到SRAM位图故障和逻辑诊断的有限工程资源的快速收益率斜坡的方法
作者:
Muthumalai Venkatesan
;
Yoong Ern Ling
;
Ross Ryan
;
Lockwood Ryan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Bitmap signatures;
Yield ramp;
cycle time reduction;
defect inspection;
failure analysis;
volume diagnostics;
58.
CD-SEM metrology evaluation of gate-all-around Si nanowire MOSFET with improved control of nanowire suspension by using a buried boron nitride etch-stop layer
机译:
通过使用掩埋硼氮化物蚀刻 - 停止层改善纳米线悬架的栅极 - 全围绕Si纳米线MOSFET的CD-SEM计量评估
作者:
Cohen Guy M.
;
Shi Leathen
;
Bangsaruntip Sarunya
;
Grill Alfred
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D-CDSEM;
Boron Nitride;
Gate-all-around (GAA) nanowire MOSFETs SOI;
59.
Chamber matching across multiple dimensions utilizing Predictive Maintenance, Equipment Health Monitoring, Virtual Metrology and Run-To-Run control
机译:
横跨多个尺寸的腔室利用预测性维护,设备健康监测,虚拟计量和运行控制
作者:
Moyne James
;
Yedatore Manjunath
;
Iskandar Jimmy
;
Hawkins Parris
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Chamber Matching;
Equipment Health Monitoring;
Mean-time-to-repair;
Predictive Maintenance;
Virtual Metrology;
mean-time-between-interrupts;
60.
Challenges and opportunities in atomistic dopant profiling using capacitance-voltage measurements
机译:
使用电容电压测量的原子掺杂剂分析中的挑战和机遇
作者:
Aghaei Samira
;
Hehta Mohit
;
Andrei Petru
;
Hagmann Mark J.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
MOSC;
Schottky contacts;
atomistic effects;
doping profiling;
random doping fluctuations;
61.
Surface metal contamination on tool components - A case study for evaluating acid extraction ICP-MS measurement process
机译:
工具组件上的表面金属污染 - 一种评价酸提取ICP-MS测量过程的案例研究
作者:
Liu Shi
;
Bin Liu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Gage Ramp;
amp;
R;
ICP-MS;
measurement system analysis;
micro contamination;
trace metal;
62.
Incorporation of direct current superposition as a means for high quality contact and slotted contact structures utilizing litho-freeze-litho-etch
机译:
利用Litho-Freeze-Litho-etch掺入直流叠加作为高质量接触和开槽接触结构的手段
作者:
Smith Jeffrey
;
deVilliers Anton
;
Mohanty Nihar
;
Miyata Yuichiro
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
DCS;
Slot contact patterning;
direct current superposition;
edge placement;
litho-freeze-litho-etch;
resist hardening;
63.
Use of optical metrology techniques for uniformity Control of 3D stacked IC's
机译:
光学计量技术对3D堆叠IC的均匀控制
作者:
Le Cunff D.
;
Tardif M.
;
Hotellier N.
;
Le Chao K.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D integration;
Optical metrology;
TSV;
64.
Correlation study Of spatial ESC temperature profile and optical CD/CD SEM measurements to investigate silicon recess and gate CD after etch
机译:
空间ESC温度曲线和光学CD / CD SEM测量的相关性研究在蚀刻后调查硅凹槽和闸门CD
作者:
Newby John
;
Bieli Giampietro
;
Wollenweber Marcus
;
Melzer Robert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
65.
Tristate Inverter Array: A new test structure that compliments traditional SRAM arrays as a yield learning vehicle
机译:
Tristate逆变器阵列:一种使传统SRAM阵列称为屈服学习车的新测试结构
作者:
Ahsan Ishtiaq
;
Schiller Carl
;
Zhigang Song
;
Wong Robert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Defect;
Functional yield;
SRAM;
Test-Structure;
Yield Learning;
66.
Early detection of pattern defects on PDI wafers
机译:
早期检测PDI晶片上的模式缺陷
作者:
Teagle Robert F
;
Lavigne Erin C
;
Mont Frank Wilhelm
;
Wang Fei
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
BEoL;
Multiple Line Open (MLO);
PWC;
Post Development Inspection (PDI);
Single Line Open (SLO);
e-beam inspection (EBI);
67.
Uniformity control for high selective down-flow plasma etching on silicon oxide
机译:
氧化硅高选择性下流等离子体蚀刻的均匀性控制
作者:
Fang-Hao Hsu
;
Kuo-Feng Lo
;
Xin-Guan Lin
;
Han-Hui Hsu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
design of experiments (DOE);
down-flow plasma Etching;
etch uniformity;
intra-level dielectric (ILD) etch back;
68.
Successful void free gap fill of 3#x00B5;m, high AR via middle, Through Silicon Vias at wafer level
机译:
通过晶圆水平的硅通孔成功使用3μm,高AR的空隙自由间隙填充3μm,通过硅通孔
作者:
Thangaraju S.
;
England L.
;
Rabie M.
;
Zhang D.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D;
KOZ;
TSV;
69.
Etch planarization - A new approach to correct non-uniformity post chemical mechanical polishing
机译:
蚀刻平面化 - 一种纠正非均匀性后化学机械抛光后的新方法
作者:
Meihua Shen
;
Zhou Baosuo
;
Yifeng Zhou
;
Hoang John
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
CMP non-uniformity;
etch compensation;
planarization;
temperature sensitivity;
70.
Hidden equipment productivity opportunities
机译:
隐藏设备生产力机会
作者:
Kinauer Jochen
;
Muller Bert
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
71.
Highly-stable four-point-probe metrology in implant and epitaxy processes
机译:
植入物和外延过程中高度稳定的四分探针计量
作者:
Qing Ye
;
Jianli Cui
;
Lu Yu
;
Shapoval Tetyana
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
electrical resistivity;
epitaxy;
four-point-probe;
implantation;
process control;
sheet resistance;
72.
Using in-line film measurement as a proxy for device matching to speed up process change qualification
机译:
使用型号胶片测量作为设备匹配的代理,以加快过程变更资格
作者:
Chienfan Yu
;
van Roijen Raymond
;
Shah Shailesh
;
Woodard Eric
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
device matching;
in-line measurements;
process change qualification;
screen oxide;
73.
Defect engineering for carrier lifetime control in high voltage GaAs power diodes
机译:
高压GaAs电力二极管中载体寿命控制的缺陷工程
作者:
Kozlov V.A.
;
Soldatenkov F.Yu.
;
Danilchenko V.G.
;
Korolkov V.I.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
charge carrier lifetime;
crystal defects;
fast recovery epitaxial diode;
gallium arsenide;
isovalent doping;
liquid phase epitaxy;
rise time;
step recovery diode;
turn-off time;
74.
Comparison study between optical emission spectroscopy and x-ray photoelectron spectroscopy techniques during process etch plasma
机译:
处理蚀刻等离子体过程中光发射光谱与X射线光电子能谱技术的比较研究
作者:
Rizquez Maria
;
James Anthony
;
Roussy Agnes
;
Pinaton Jacques
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Optical Emission Spectroscopy (OES);
Plasma diagnostics;
Process plasma etching;
Reactive Ion Etching (RIE);
X-ray Photoelectron Spectroscopy (XPS);
75.
450 mm carrier interoperability effects on particle Generation
机译:
450 mm载体互操作性对颗粒生成的影响
作者:
Alaestante Angelo
;
Borst Christopher L.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
450 mm;
carrier;
defect;
interoperability;
wafer;
76.
Successful yield ramp using product test, scan and memory diagnosis
机译:
使用产品测试,扫描和记忆诊断成功的产量斜坡
作者:
Muthumalai Venkatesan
;
Iverson David
;
Sinnott Aaron
;
Desineni Rao
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Yield ramp;
chain instance analysis;
memory instance analysis;
volume diagnostics;
77.
Improvement of characteristic of redistribution layer (RDL)on mobile application
机译:
可改进再分配层(RDL)的特征在移动应用中的特征
作者:
Liu C.S
;
Hsu Ann
;
Ni C.T
;
Pang Ponder
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Bondability;
Redistribution;
78.
Use of diodes to enable #x03BC;Loop#x00AE; test structures for buried defects and voltage to grayscale calibration
机译:
使用二极管以使μloop® sup>测试结构用于掩埋缺陷和电压到灰度校准
作者:
Patterson Oliver D.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
amp;
x03BC;
Loop;
Electron Beam Inspection (EBI);
Voltage calibration;
defect reduction;
gray-scale calibration;
scan rate;
test structures;
79.
Analysis of TSV geometric parameter impact on switching noise in 3D power distribution network
机译:
TSV几何参数对3D配电网络交换噪声的影响分析
作者:
He Huanyu
;
Lu James J.-Q.
;
Gu Xiaoxiong
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
3D Power delivery;
3D integration;
3D/TSV modeling;
IR drop;
di/dt noise;
through-silicon via (TSV);
80.
A study on the defects induced by ambient moisture and ammonia in the perhydropolysilazane spin on glass process
机译:
玻璃工艺植物水分水分和氨诱导缺陷研究
作者:
Jeongin Yoon
;
Jinho Kim
;
Juhyun Park
;
Joonho Jang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Ammonia;
Moisture;
PHPS;
SOG;
Semiconductor;
81.
Multivariate method for the monitoring of etch chamber insitu cleaning
机译:
用于监控蚀刻室Insitu清洁的多变量方法
作者:
Boumerzoug Mohamed
;
Promreuk Suradej
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Multivariate;
etch byproduct;
insitu cleaning;
82.
300mm+ factory layout design and innovations for advanced semiconductor manufacturing
机译:
300毫米+工厂布局设计和创新,用于高级半导体制造
作者:
Yih-Jan Huang
;
Chia-Yin Kuo
;
Ming-Te Kao
;
Huang Rich
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
83.
Laser marking equipment process $0 cost productivity improvement
机译:
激光标记设备处理$ 0成本生产力提高
作者:
Vincent Darin Moreira Anthony
;
Rajamony Bhuvenesh
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Case study;
IR laser;
Laser mark;
Process Improvement;
Quality output;
TRIZ;
84.
Novel process window and product yield improvement by eliminating contact shorts
机译:
通过消除触点短路,新颖的过程窗口和产品产量提高
作者:
Yuan-Chieh Chiu
;
Shih-Ping Hong
;
Fang-Hao Hsu
;
Hong-Ji Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
PR profile;
contact bridging;
dual ARC;
flash memory;
plasma etching;
85.
Extending dry pump reliability on high-k ALD furnaces
机译:
在高K ALD炉子上延伸干泵可靠性
作者:
Nishimura Katsumi
;
Boger Maiku
;
Ito Kazuki
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
86.
Progress on background signal analysis of bare wafer inspection systems based on light scattering for III/V epitaxial growth monitoring
机译:
基于III / V外延生长监测光散射裸晶圆检测系统背景信号分析的进展
作者:
Halder Sandip
;
Mols Yves
;
van den Heuvel Dieter
;
van Puymbroeck Jan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
IIIamp;
x2013;
V materials;
Light scattering;
haze;
process control;
87.
Advanced FOUP purge using diffusers for FOUP door-off application
机译:
高级FOUP使用FOUP OFF应用的漫射器清除
作者:
Wang H.P.
;
Kim S.C.
;
Liu B.
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
88.
High-K metal gate contact process optimization for yield improvement via innovative defect inspection technique
机译:
高k金属栅极接触过程优化通过创新缺陷检测技术进行产量改进
作者:
Lan Polly
;
Jung Yan Yang
;
Chen Garry
;
Pai White
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Systematic yield-limiting defects;
broadband plasma (BBP);
electron beam (e-beam);
inspection strategy;
laser scanning (LS);
89.
First time right deposition of embedded SiGe in new products
机译:
在新产品中首次正确沉积嵌入式SiGe
作者:
van Roijen Raymond
;
Linskey Meghan
;
Harley Eric
;
Herbert Alyssa
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Advanced Process Control;
SiGe;
90.
Detection sensitivity improvement on STI module in 28nm process foundry logic node
机译:
28nm过程铸造逻辑节点中STI模块的检测灵敏度改进
作者:
Koronel Dan
;
Soni Govinda
;
Gupta Vijeet
;
Beyer Mirko
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
DUV inspection;
defect detection;
semiconductor wafer;
wafer inspection;
yield monitoring;
91.
The importance of reporting both composite and maze yield for process split yield learning
机译:
报告复合物和迷宫产量的重要性分裂产量学习
作者:
Fan Zheng
;
Piper Amanda
;
Karve Gauri
;
Kan Zhang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Composite Yield;
Maze Yield;
Process Characterization;
Random Defect;
Random Defect Model;
Systematic Defect;
Yield Enhancement;
92.
Uniformity improvement for 200 mm APCVD epitaxial Si film by retrofit of Applied Materials Epi Centura
机译:
应用材料EPI Centura的改造,200mM APCVD外延Si膜的均匀性改善
作者:
Kunle Matthias
;
Baumgartl Johannes
;
Ackermann Thomas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
FTIR;
chemical vapor deposition;
silicon epitaxy;
uniformity;
93.
High-k/metal gates in the 2010s
机译:
2010年的高K /金属门
作者:
James Dick
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
Advanced Materials;
Advanced Processes;
FEOL;
Transistor Structures;
94.
iPM - A fab preventive maintenance forecasting downtime management tool
机译:
IPM - FAB预防性维护预测和停机管理工具
作者:
Senerman Guy Menashe
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
CMMS;
Forecast;
Intel;
Manufacturing;
Preventive-maintenance;
Semiconductors;
scheduling;
95.
Scanning frequency comb microscopy (SFCM): A new method showing promise for high-resolution carrier profiling in semiconductors
机译:
扫描频率梳理显微镜(SFCM):一种新方法,显示了半导体中高分辨率载波分析的承诺
作者:
Hagmann Mark J.
;
Andrei Petru
;
Pandey Shashank
;
Nahata Ajay
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
carrier profiling;
dopant profiling;
femtosecond laser;
microwave frequency comb;
scanning probe microscopy;
96.
DiagBridge: Analyzing scan diagnosis data in a yield perspective
机译:
Diagbridge:以收益率观察扫描诊断数据
作者:
Pan Yan
;
Chittora Atul
;
Sekar Kannan
;
Malik Shobhit
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
failure analysis;
scan diagnosis;
volume diagnosis;
yield loss pareto;
yield ramp;
97.
Air Gap CV measurement for doping concentration in epitaxial silicon
机译:
外延硅掺杂浓度的气隙CV测量
作者:
Heider Franz
;
Baumgartl Johannes
;
Horvath Peter
;
Jaehrling Thomas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
capacitance-voltage;
contact-free CV;
doping profile;
epitaxial silicon;
mercury-CV;
metrology;
resistivity profile;
98.
Full-wafer electron beam inspection for detection of BEOL defects
机译:
用于检测BEOL缺陷的全晶圆电子束检测
作者:
Hafer Richard F.
;
Patterson Oliver D.
;
Hahn Roland
;
Hong Xiao
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
EBI;
defects;
electron beam inspection;
full-wafer inspection;
opens;
shorts;
voltage contrast;
99.
Implementation of an advanced Recipe Management System in a fully automated 300mm fab
机译:
在完全自动化的300mm Fab中实现高级配方管理系统
作者:
Lu Andrew
;
Hanan Jeff
;
Drinkwine Kevin
;
Gupta Gaurav
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
High Performing Matrix Team;
Lean Manufacturing;
Lean Startup;
Recipe Management System;
100.
Trench multiplication process by a sacrificial SiGe epitaxial Layer
机译:
通过牺牲SiGe外延层的沟槽倍增过程
作者:
Popp Thomas
;
Berger Rudolf
;
Pompl Stefan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2014年
关键词:
RIE;
deep trench;
epitaxy;
sacrificial SiGe;
意见反馈
回到顶部
回到首页