掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Conference on Field Programmable Logic and Applications
International Conference on Field Programmable Logic and Applications
召开年:
2013
召开地:
Porto(PT)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Improving Annealing via Directed Moves
机译:
通过定向移动改善退火
作者:
Vorwerk Kristofer
;
Kennings Andrew
;
Greene Jonathan
;
Chen Doris T.
;
FPL
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2007年
2.
AdapNoC: A fast and flexible FPGA-based NoC simulator
机译:
adapnoc:基于快速灵活的FPGA NoC模拟器
作者:
Hadi Mardani Kamali
;
Shahin Hessabi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Routing;
Software;
Virtualization;
Computer architecture;
Adaptation models;
Time division multiple access;
3.
Energy-efficient stochastic matrix function estimator for graph analytics on FPGA
机译:
用于FPGA的图表分析的节能随机矩阵功能估计
作者:
Heiner Giefers
;
Peter Staar
;
Raphael Polig
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Graphics processing units;
4.
Efficient and reliable High-Level Synthesis Design Space Explorer for FPGAs
机译:
用于FPGA的高效可靠的高级合成设计空间探险仪
作者:
Dong Liu
;
Benjamin Carrion Schafer
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Lead;
Libraries;
Algorithm design and analysis;
5.
Measure twice and cut once: Robust dynamic voltage scaling for FPGAs
机译:
测量两次并切割一次:FPGA的强大动态电压缩放
作者:
Ibrahim Ahmed
;
Shuze Zhao
;
Olivier Trescases
;
Vaughn Betz
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Voltage control;
Calibration;
Delays;
Testing;
Registers;
Temperature measurement;
6.
Search-based synthesis of approximate circuits implemented into FPGAs
机译:
基于搜索的近似电路的合成,实现为FPGA
作者:
Zdenek Vasicek
;
Lukas Sekanina
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Logic gates;
Field programmable gate arrays;
Table lookup;
Optimization;
Boolean functions;
Design tools;
7.
A high performance FPGA-based accelerator for large-scale convolutional neural networks
机译:
用于大型卷积神经网络的高性能FPGA加速器
作者:
Huimin Li
;
Xitian Fan
;
Li Jiao
;
Wei Cao
;
Xuegong Zhou
;
Lingli Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Parallel processing;
Bandwidth;
Neurons;
System-on-chip;
Convolution;
Computational modeling;
Throughput;
8.
SRI-SURF: A better SURF powered by scaled-RAM interpolator on FPGA
机译:
Sri-Surf:由FPGA上的缩放式RAM插值器提供更好的冲浪
作者:
Xijie Jia
;
Kaiyuan Guo
;
Wenqiang Wang
;
Yu Wang
;
Huazhong Yang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Feature extraction;
Random access memory;
Interpolation;
Algorithm design and analysis;
IP networks;
Hardware;
9.
GraVF: A vertex-centric distributed graph processing framework on FPGAs
机译:
Gravf:FPGA上以顶点为中心的分布图形处理框架
作者:
Nina Engelhardt
;
Hayden Kwok-Hay So
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Kernel;
Field programmable gate arrays;
Computational modeling;
Programming;
Algorithm design and analysis;
Hardware;
Computer architecture;
10.
High-level synthesis for medical image processing on Systems on Chip: A case study
机译:
芯片上系统医学图像处理的高级合成:案例研究
作者:
Fraser D Robinson
;
Louise H Crockett
;
William H Nailon
;
Robert W Stewart
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Algorithm design and analysis;
Acceleration;
Software algorithms;
Software;
Coprocessors;
Field programmable gate arrays;
11.
FMER: A hybrid configuration memory error recovery scheme for highly reliable FPGA SoCs
机译:
FMER:用于高可靠的FPGA SoC的混合配置内存错误恢复方案
作者:
Dimitris Agiakatsikas
;
Ediz Cetin
;
Oliver Diessel
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Tunneling magnetoresistance;
Reliability;
Energy consumption;
Pins;
Field programmable gate arrays;
Maintenance engineering;
Markov processes;
12.
Transparent FPGA flow
机译:
透明FPGA流量
作者:
Baptiste Delporte
;
Anthony Convers
;
Roberto Rigamonti
;
Alberto Dassatti
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Computer architecture;
Flow graphs;
Acceleration;
Performance evaluation;
Optimization;
Computers;
13.
Model-based optimization of High Level Synthesis directives
机译:
基于模型的高级合成指令优化
作者:
Charles Lo
;
Paul Chow
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Optimization;
Hardware;
Gaussian processes;
Field programmable gate arrays;
Context;
High level synthesis;
Standards;
14.
Time-borrowing platform in the Xilinx UltraScale+ family of FPGAs and MPSoCs
机译:
Xilinx UltraScale + FPGA系列的时间借用平台和MPSOC
作者:
Ilya Ganusov
;
Benjamin Devlin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Clocks;
Latches;
Delays;
Pipelines;
Field programmable gate arrays;
Routing;
15.
SoCLog: A real-time, automatically generated logging and profiling mechanism for FPGA-based Systems On Chip
机译:
SOCLOG:实时,自动生成的芯片上基于FPGA系统的日志和分析机制
作者:
Ioannis Parnassos
;
Panagiotis Skrimponis
;
Georgios Zindros
;
Nikolaos Bellas
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Field programmable gate arrays;
Discrete cosine transforms;
Computer architecture;
Kernel;
Benchmark testing;
16.
Effects of I/O routing through column interfaces in embedded FPGA fabrics
机译:
嵌入式FPGA织物中柱界面I / O路由的影响
作者:
Christophe Huriaux
;
Olivier Sentieys
;
Russell Tessier
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Fabrics;
Computer architecture;
Routing;
Three-dimensional displays;
Through-silicon vias;
Video recording;
17.
Multi-core for K-means clustering on FPGA
机译:
用于k-means聚类的多核在fpga上
作者:
José Canilho
;
Mário Véstias
;
Horácio Neto
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Clustering algorithms;
Computer architecture;
Field programmable gate arrays;
Algorithm design and analysis;
Measurement;
Parallel processing;
18.
Controller architecture for low-latency access to phase-change memory in OpenPOWER systems
机译:
控制器架构,用于低延迟访问OpenPower系统中的相变内存
作者:
A. Prodromakis
;
N. Papandreou
;
E. Bougioukou
;
U. Egger
;
N. Toulgaridis
;
Th. Antonakopoulos
;
H. Pozidis
;
E. Eleftheriou
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Phase change materials;
Nonvolatile memory;
Field programmable gate arrays;
Servers;
Memory management;
Next generation networking;
Engines;
19.
Harnessing Programmable SoCs to develop cost-effective network quality monitoring devices
机译:
利用可编程SOCS开发经济高效的网络质量监控设备
作者:
M. Ruiz
;
J. Ramos
;
G. Sutter
;
S. López-Buedo
;
J.E. López de Vergara
;
C. Sisterna
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Monitoring;
Clocks;
Synchronization;
Delays;
Field programmable gate arrays;
Global Positioning System;
Receivers;
20.
Single-FPGA 3D ultrasound beamformer
机译:
单FPGA 3D超声波束形成器
作者:
A. C. Yüzügüler
;
W. Simon
;
A. Ibrahim
;
F. Angiolini
;
M. Arditi
;
J.-P. Thiran
;
G. De Micheli
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Delays;
Three-dimensional displays;
Field programmable gate arrays;
Ultrasonic imaging;
Imaging;
Table lookup;
21.
Reconfigurable circuit for implementation of family of 4-phase latch protocols
机译:
用于实现四相锁存协议系列的可重构电路
作者:
Jotham Vaddaboina Manoranjan
;
Kenneth S. Stevens
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Protocols;
Field programmable gate arrays;
Table lookup;
Latches;
Routing;
Hazards;
Fabrics;
22.
An XDL alternative for interfacing RapidSmith and Vivado
机译:
XDL替代速率XDL替代品,用于Xpidsmith和Vivado
作者:
Thomas Townsend
;
Brent Nelson
;
Mike Wirthlin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Routing;
Wires;
Computers;
Electronic mail;
Performance evaluation;
Hardware;
23.
Ouessant: Microcontroller approach for flexible accelerator integration and control in System-on-Chip
机译:
Ouessant:微控制器方法,用于芯片系统中灵活的加速器集成和控制
作者:
Pierre-Henri Horrein
;
Beno?t Porteboeuf
;
André Lalevée
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Instruction sets;
Coprocessors;
Registers;
Microcontrollers;
Acceleration;
24.
A survey of AIS-20/31 compliant TRNG cores suitable for FPGA devices
机译:
适用于FPGA器件的AIS-20/31符合TRNG芯的调查
作者:
Oto Petura
;
Ugo Mureddu
;
Nathalie Bochard
;
Viktor Fischer
;
Lilian Bossuet
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Generators;
Clocks;
Jitter;
Ring oscillators;
Entropy;
Cryptography;
25.
Packet processing on FPGA SoC with DPDK
机译:
与DPDK的FPGA SOC上的数据包处理
作者:
Jan Viktorin
;
Jan Korenek
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Computer architecture;
Throughput;
Process control;
Standards;
Power demand;
Linux;
26.
Trojans modifying soft-processor instruction sequences embedded in FPGA bitstreams
机译:
特洛伊木马修改FPGA比特流嵌入的软处理器指令序列
作者:
Ismail San
;
Nicole Fern
;
Cetin Kaya Koc
;
Kwang-Ting Cheng
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Decoding;
Trojan horses;
Encryption;
Embedded systems;
Algorithm design and analysis;
27.
HeteroSim: A heterogeneous CPU-FPGA simulator
机译:
HeteroSim:异构CPU-FPGA模拟器
作者:
Liang Feng
;
Hao Liang
;
Sharad Sinha
;
Wei Zhang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Computer architecture;
Field programmable gate arrays;
Kernel;
Acceleration;
Data models;
Hardware;
Program processors;
28.
Single-FPGA, scalable, low-power, and high-quality 3D ultrasound beamformer
机译:
单FPGA,可扩展,低功耗和高质量的3D超声波形成器
作者:
W. Simon
;
A. C. Yüzügüler
;
A. Ibrahim
;
F. Angiolini
;
M. Arditi
;
J.-P. Thiran
;
G. De Micheli
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Delays;
Field programmable gate arrays;
Three-dimensional displays;
Computer architecture;
Imaging;
Ultrasonic imaging;
Probes;
29.
Bayesian inference implemented on FPGA with stochastic bitstreams for an autonomous robot
机译:
贝叶斯推断在FPGA上实现了自主机器人的随机比特流
作者:
Hugo Fernandes
;
M. Awais Aslam
;
Jorge Lobo
;
Jo?o Filipe Ferreira
;
Jorge Dias
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Bayes methods;
Stochastic processes;
Robots;
Field programmable gate arrays;
Computational modeling;
Probabilistic logic;
Probability distribution;
30.
Hardware-software codesign of RSA for optimal performance vs. flexibility trade-off
机译:
RSA的硬件软件代码对于最佳性能与灵活性折衷
作者:
Malik Umar Sharif
;
Rabia Shahid
;
Kris Gaj
;
Marcin Rogawski
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Cryptography;
Hardware;
Software;
Partitioning algorithms;
Software algorithms;
Coprocessors;
31.
Overcoming resource underutilization in spatial CNN accelerators
机译:
克服空间CNN加速器中的资源未充分利用
作者:
Yongming Shen
;
Michael Ferdman
;
Peter Milder
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Digital signal processing;
Field programmable gate arrays;
Throughput;
Convolutional codes;
Image segmentation;
Dynamic scheduling;
Adders;
32.
ParaFRo: A hybrid parallel FPGA router using fine grained synchronization and partitioning
机译:
Parafro:使用细粒度同步和分区的混合并行FPGA路由器
作者:
Chin Hau Hoo
;
Yajun Ha
;
Akash Kumar
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Routing;
Field programmable gate arrays;
Program processors;
Partitioning algorithms;
Parallel processing;
Algorithm design and analysis;
Synchronization;
33.
Hardware acceleration of a software-based VPN
机译:
基于软件的VPN的硬件加速
作者:
Furkan Turan
;
Ruan de Clercq
;
Pieter Maene
;
Oscar Reparaz
;
Ingrid Verbauwhede
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Virtual private networks;
Hardware;
Coprocessors;
Acceleration;
Software;
Encryption;
34.
Body bias grain size exploration for a coarse grained reconfigurable accelerator
机译:
粗粒可重构加速器的身体偏置粒度探索
作者:
Yusuke Matsushita
;
Hayate Okuhara
;
Koichiro Masuyama
;
Yu Fujita
;
Ryuta Kawano
;
Hideharu Amano
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Arrays;
Delays;
Registers;
Genetic algorithms;
MOSFET;
Data transfer;
Internet of things;
35.
Configurable and scalable belief propagation accelerator for computer vision
机译:
用于计算机视觉的可配置和可扩展的信仰传播加速器
作者:
Jungwook Choi
;
Rob A. Rutenbar
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Hardware;
Computer architecture;
Bandwidth;
Computer vision;
Message passing;
Belief propagation;
36.
Fast and area efficient adder for wide data in recent Xilinx FPGAs
机译:
近期Xilinx FPGA的宽带数据快速和区域高效加法器
作者:
Petter K?llstr?m
;
Oscar Gustafsson
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Adders;
Microprocessors;
Delays;
Field programmable gate arrays;
Table lookup;
Registers;
37.
Hardware acceleration of feature detection and description algorithms on low-power embedded platforms
机译:
低功耗嵌入式平台特征检测的硬件加速度和描述算法
作者:
Onur Ulusel
;
Christopher Picardo
;
Christopher B. Harris
;
Sherief Reda
;
R. Iris Bahar
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Feature extraction;
Algorithm design and analysis;
Field programmable gate arrays;
Acceleration;
Detectors;
Hardware;
Power dissipation;
38.
DT-CGRA: Dual-track coarse-grained reconfigurable architecture for stream applications
机译:
DT-CGRA:用于流应用的双轨粗粒可重新配置架构
作者:
Xitian Fan
;
Huimin Li
;
Wei Cao
;
Lingli Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Kernel;
Programming;
Reconfigurable architectures;
Energy efficiency;
Context;
Arrays;
39.
Scalable and modularized RTL compilation of Convolutional Neural Networks onto FPGA
机译:
将卷积神经网络的可扩展和模块化RTL编译在FPGA上
作者:
Yufei Ma
;
Naveen Suda
;
Yu Cao
;
Jae-sun Seo
;
Sarma Vrudhula
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Convolution;
Hardware;
Kernel;
Acceleration;
Memory management;
Algorithm design and analysis;
40.
Accelerating recurrent neural networks in analytics servers: Comparison of FPGA, CPU, GPU, and ASIC
机译:
加速分析服务器中的经常性神经网络:FPGA,CPU,GPU和ASIC的比较
作者:
Eriko Nurvitadhi
;
Jaewoong Sim
;
David Sheffield
;
Asit Mishra
;
Srivatsan Krishnan
;
Debbie Marr
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Recurrent neural networks;
Graphics processing units;
Random access memory;
Logic gates;
Classification algorithms;
Runtime;
41.
Automated bug detection for pointers and memory accesses in High-Level Synthesis compilers
机译:
高级合成编译器中的指针和内存访问的自动错误检测
作者:
Pietro Fezzardi
;
Fabrizio Ferrandi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Resource management;
Debugging;
Optimization;
Computer bugs;
Hardware design languages;
Algorithm design and analysis;
Silicon;
42.
Designing a virtual runtime for FPGA accelerators in the cloud
机译:
为云中的FPGA加速器设计虚拟运行时
作者:
Mikhail Asiatici
;
Nithin George
;
Kizheppatt Vipin
;
Suhaib A. Fahmy
;
Paolo Ienne
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Cloud computing;
Field programmable gate arrays;
Performance evaluation;
Manuals;
Computer architecture;
43.
Fast and robust hashing for database operators
机译:
数据库运算符的快速和强大的哈希
作者:
Kaan Kara
;
Gustavo Alonso
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Hardware;
Software;
Robustness;
Databases;
Acceleration;
Computer architecture;
44.
Modelling delay degradation due to NBTI in FPGA Look-up tables
机译:
FPGA查找表中NBTI引起的建模延迟劣化
作者:
Mohammad Naouss
;
Fran?ois Marc
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Delays;
Degradation;
Table lookup;
Stress;
Field programmable gate arrays;
Aging;
Negative bias temperature instability;
45.
Connect on the fly: Enhancing and prototyping of cycle-reconfigurable modules
机译:
连通运用:增强循环可重构模块的增强和原型设计
作者:
Hao Zhou
;
Xinyu Niu
;
Junqi Yuan
;
Lingli Wang
;
Wayne Luk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Runtime;
Clocks;
Field programmable gate arrays;
Customer relationship management;
Routing;
Hardware;
System-on-chip;
46.
EURECA compilation: Automatic optimisation of cycle-reconfigurable circuits
机译:
eURECA编译:自动优化循环可重构电路
作者:
Xinyu Niu
;
Nicholas Ng
;
Tomofumi Yuki
;
Shaojun Wang
;
Nobuko Yoshida
;
Wayne Luk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Ports (Computers);
Runtime;
Computer architecture;
Protocols;
Clocks;
Field programmable gate arrays;
Kernel;
47.
Optimal random sampling based path planning on FPGAs
机译:
基于FPGA的最佳随机采样路径规划
作者:
Size Xiao
;
Adam Postula
;
Neil Bergmann
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Algorithm design and analysis;
Hardware;
Computer architecture;
Acceleration;
Sorting;
Path planning;
Field programmable gate arrays;
48.
An implementation method of the box filter on FPGA
机译:
FPGA上盒式滤波器的实现方法
作者:
Sichao Wang
;
Tsutomu Maruyama
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Random access memory;
Barium;
Field programmable gate arrays;
Memory management;
Stereo vision;
System-on-chip;
Mathematical model;
49.
Towards a hardware-assisted information flow tracking ecosystem for ARM processors
机译:
朝向ARM处理器的硬件辅助信息流跟踪生态系统
作者:
Muhammad Abdul Wahab
;
Pascal Cotret
;
Mounir Nasr Allah
;
Guillaume Hiet
;
Vianney Lap?tre
;
Guy Gogniat
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Coprocessors;
Program processors;
Multicore processing;
Security;
Hardware;
50.
Towards an all-digital antenna array transmitter
机译:
朝向全数字天线阵列变送器
作者:
Daniel C. Dinis
;
R. F. Cordeiro
;
Arnaldo S. R. Oliveira
;
José Vieira
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Antenna arrays;
Radio transmitters;
Transmitting antennas;
Modulation;
Field programmable gate arrays;
Radio frequency;
51.
Improved resource sharing for FPGA DSP blocks
机译:
改进FPGA DSP块的资源共享
作者:
Bajaj Ronak
;
Suhaib A. Fahmy
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Digital signal processing;
Resource management;
Schedules;
Throughput;
Processor scheduling;
Field programmable gate arrays;
Clocks;
52.
Boosting convergence of timing closure using feature selection in a Learning-driven approach
机译:
使用学习驱动的方法使用特征选择来提高时序闭合的收敛性
作者:
Que Yanghua
;
Harnhua Ng
;
Nachiket Kapre
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Timing;
Field programmable gate arrays;
Solid modeling;
Convergence;
Predictive models;
Runtime;
53.
An investigation into a circuit based supply chain analyzer for FPGAs
机译:
用于FPGA的电路供应链分析仪的研究
作者:
Jacob Couch
;
John Arkoian
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Supply chains;
Manufacturing;
Aircraft;
Aerospace electronics;
Current measurement;
Mission critical systems;
54.
Efficient processing of phased array radar in sense and avoid application using heterogeneous computing
机译:
有意义地处理相控阵雷达的处理,避免使用异构计算的应用
作者:
Luke Newmeyer
;
Doran Wilde
;
Brent Nelson
;
Michael Wirthlin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Field programmable gate arrays;
Unmanned aerial vehicles;
Phased arrays;
Radar applications;
Collision avoidance;
55.
Liquid: Fast placement prototyping through steepest gradient descent movement
机译:
液体:通过陡峭梯度下降运动的快速放置原型
作者:
Elias Vansteenkiste
;
Seppe Lenders
;
Dirk Stroobandt
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Liquids;
Runtime;
Field programmable gate arrays;
Linear systems;
Timing;
Optimization;
Law;
56.
Exploring the use of shift register lookup tables for Keccak implementations on Xilinx FPGAs
机译:
探索XILINX FPGA上的keccak实现的移位寄存器查找表的使用
作者:
Jori Winderickx
;
Joan Daemen
;
Nele Mentens
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Shift registers;
Computer architecture;
Field programmable gate arrays;
Clocks;
Random access memory;
Throughput;
57.
Optimizing hardware design for Human Action Recognition
机译:
优化人类行动识别的硬件设计
作者:
Xiaoyin Ma
;
Jose Rodriguez Borbon
;
Walid Najjar
;
Amit K. Roy-Chowdhury
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Feature extraction;
Field programmable gate arrays;
Histograms;
Three-dimensional displays;
Cameras;
Hardware;
Bandwidth;
58.
The speed of diversity: Exploring complex FPGA routing topologies for the global metal layer
机译:
多样性的速度:探索全球金属层的复杂FPGA路由拓扑
作者:
Oleg Petelin
;
Vaughn Betz
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Wires;
Metals;
Routing;
Switches;
Field programmable gate arrays;
Delays;
Computer architecture;
59.
Chaotic architectures for secure free-space optical communication
机译:
安全自由空间光学通信的混沌架构
作者:
Esam El-Araby
;
Nader Namazi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Chaotic communication;
Receivers;
Synchronization;
Discrete wavelet transforms;
Finite impulse response filters;
Filter banks;
Transmitters;
60.
Relational query processing on OpenCL-based FPGAs
机译:
基于OpenCL的FPGA的关系查询处理
作者:
Zeke Wang
;
Johns Paul
;
Hui Yan Cheah
;
Bingsheng He
;
Wei Zhang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Kernel;
Optimization;
Query processing;
Resource management;
61.
Stress-aware routing to mitigate aging effects in SRAM-based FPGAs
机译:
压力感知路由以减轻基于SRAM的FPGA中的老化效果
作者:
Behnam Khaleghi
;
Behzad Omidi
;
Hussam Amrouch
;
J?rg Henkel
;
Hossein Asadi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Aging;
Routing;
Field programmable gate arrays;
Table lookup;
Stress;
Delays;
Transistors;
62.
TeSHoP: A Temperature Sensing based Hotspot-Driven Placement technique for FPGAs
机译:
Teshop:用于FPGA的温度感测的热点驱动放置技术
作者:
Weina Lu
;
Yu Hu
;
Jing Ye
;
Xiaowei Li
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Temperature sensors;
Field programmable gate arrays;
Optimization;
Temperature measurement;
Benchmark testing;
Routing;
63.
A runtime reconfigurable FPGA-based microphone array for sound source localization
机译:
用于声源定位的运行时可重新配置的基于FPGA的麦克风阵列
作者:
Bruno da Silva
;
Laurent Segers
;
An Braeken
;
Abdellah Touhafi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Microphone arrays;
Micromechanical devices;
Runtime;
Computer architecture;
Real-time systems;
Array signal processing;
64.
Resource efficient real-time processing of Contrast Limited Adaptive Histogram Equalization
机译:
对比度有限自适应直方图均衡的资源有效实时处理
作者:
Burak Unal
;
Ali Akoglu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Histograms;
Field programmable gate arrays;
Random access memory;
Real-time systems;
Complexity theory;
Interpolation;
Adaptive equalizers;
65.
Survey of domain-specific languages for FPGA computing
机译:
对FPGA计算的域特定语言的调查
作者:
Nachiket Kapre
;
Samuel Bayliss
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Hardware;
DSL;
Hardware design languages;
Software;
Programming;
Productivity;
66.
Accelerating particle identification for high-speed data-filtering using OpenCL on FPGAs and other architectures
机译:
在FPGA和其他架构上使用OpenCL加速高速数据过滤的粒子识别
作者:
Srikanth Sridharan
;
Paolo Durante
;
Christian Faerber
;
Niko Neufeld
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Graphics processing units;
Photonics;
Computer architecture;
Acceleration;
Computational modeling;
Hardware design languages;
67.
Demonstration of a context-switch method for heterogeneous reconfigurable systems
机译:
用于异构可重新配置系统的上下文交换方法的演示
作者:
Arief Wicaksana
;
Alban Bourge
;
Olivier Muller
;
Frédéric Rousseau
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Servers;
Context;
Interrupters;
Image restoration;
System-on-chip;
Hardware;
68.
High-speed programmable FPGA Configuration through JTAG
机译:
通过JTAG的高速可编程FPGA配置
作者:
Ammon Gruwell
;
Peter Zabriskie
;
Michael Wirthlin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Hardware;
Circuit faults;
Software;
Testing;
Registers;
Software libraries;
69.
High-speed PCAP configuration scrubbing on Zynq-7000 All Programmable SoCs
机译:
Zynq-7000上的高速PCAP配置擦洗所有可编程SOC
作者:
Aaron Stoddard
;
Ammon Gruwell
;
Peter Zabriskie
;
Michael Wirthlin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Program processors;
Materials handling;
Random access memory;
Hardware;
Computer architecture;
Reliability;
70.
An FPGA-based high-throughput stream join architecture
机译:
基于FPGA的高吞吐量流连接架构
作者:
Charalabos Kritikakis
;
Grigorios Chrysos
;
Apostolos Dollas
;
Dionisios N. Pnevmatikatos
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
71.
Automated extra pipeline analysis of applications mapped to Xilinx UltraScale+ FPGAs
机译:
自动化额外管道分析映射到Xilinx UltraScale + FPGA的应用程序
作者:
Ilya Ganusov
;
Henri Fraisse
;
Aaron Ng
;
Rafael Trapani Possignolo
;
Sabya Das
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Pipeline processing;
Registers;
Field programmable gate arrays;
Pins;
Table lookup;
Algorithm design and analysis;
Pipelines;
72.
FPGA-based accelerator design from a domain-specific language
机译:
基于FPGA的加速器设计从域特定语言
作者:
M. Akif ?zkan
;
Oliver Reiche
;
Frank Hannig
;
Jürgen Teich
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Kernel;
Hardware;
Computer architecture;
Programming;
Field programmable gate arrays;
Image processing;
73.
Optimising Sparse Matrix Vector multiplication for large scale FEM problems on FPGA
机译:
优化稀疏矩阵向量乘法对FPGA的大规模FEM问题
作者:
Paul Grigora?
;
Pavel Burovskiy
;
Wayne Luk
;
Spencer Sherwin
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Sparse matrices;
Field programmable gate arrays;
Computer architecture;
Finite element analysis;
Kernel;
Iterative methods;
Space exploration;
74.
Efficient sum of absolute difference computation on FPGAs
机译:
FPGA上的绝对差值计算的高效
作者:
Martin Kumm
;
Marco Kleinlein
;
Peter Zipf
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Table lookup;
Field programmable gate arrays;
Adders;
Complexity theory;
Motion estimation;
75.
Modeling considerations for the hardware-software co-design of flexible modern wireless transceivers
机译:
灵活现代无线收发器硬件软件共同设计的建模考虑因素
作者:
Benjamin Drozdenko
;
Matthew Zimmermann
;
Tuan Dao
;
Kaushik Chowdhury
;
Miriam Leeser
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Wireless communication;
Data models;
Hardware;
Software packages;
Delays;
76.
Low-latency TCP/IP stack for data center applications
机译:
用于数据中心应用程序的低延迟TCP / IP堆栈
作者:
David Sidler
;
Zsolt István
;
Gustavo Alonso
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
TCPIP;
Memory management;
Bandwidth;
Payloads;
Engines;
Protocols;
77.
Vector FPGA acceleration of 1-D DWT computations using sparse matrix skeletons
机译:
矢量FPGA加速1-D DWT计算使用稀疏矩阵骨架
作者:
Sidharth Maheshwari
;
Gourav Modi
;
Siddhartha
;
Nachiket Kapre
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Discrete wavelet transforms;
Skeleton;
Sparse matrices;
Matrix decomposition;
Vector processors;
Acceleration;
Complexity theory;
78.
Approximate Frequent Itemset Mining for streaming data on FPGA
机译:
近似频繁的项目集挖掘FPGA上的流数据
作者:
Yubin Li
;
Yuliang Sun
;
Guohao Dai
;
Qiang Xu
;
Yu Wang
;
Huazhong Yang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Itemsets;
Field programmable gate arrays;
Pipelines;
Acceleration;
Table lookup;
79.
Architectural exploration and implementation of an image processing chain with SpaceStudio?
机译:
与spacestudio图像处理链的建筑探索与实现?
作者:
Fellipe Montero
;
Guy Bois
;
Eric Jenn
;
Kevin Duplantier
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Computer architecture;
Image segmentation;
Monitoring;
Field programmable gate arrays;
Algorithm design and analysis;
Compass;
80.
A hardware/software codesign framework for vision-based ADAS
机译:
基于视觉的ADA的硬件/软件代码框架
作者:
Leandro Andrade Martinez
;
Eduardo Marques
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Hardware;
Web sites;
Software;
Field programmable gate arrays;
Streaming media;
Computer vision;
Libraries;
81.
A partial reconfiguration controller for Altera Stratix V FPGAs
机译:
用于Altera Stratix V FPGA的部分重新配置控制器
作者:
Zhenzhong Xiao
;
Dirk Koch
;
Mikel Lujan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Fabrics;
Shift registers;
Writing;
Engines;
Acceleration;
Table lookup;
82.
An evaluation on the accuracy of the minimum width transistor area models in ranking the layout area of FPGA architectures
机译:
对FPGA架构布局区域中最小宽度晶体管区域模型的准确性的评估
作者:
Farheen Fatima Khan
;
Andy Ye
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Layout;
Field programmable gate arrays;
Transistors;
Mathematical model;
Computer architecture;
Architecture;
Multiplexing;
83.
Improving the efficiency of PUF-based key generation in FPGAs using variation-aware placement
机译:
使用变体感知放置提高FPGA中基于PUF基键生成的效率
作者:
Shrikant Vyas
;
Naveen Kumar Dumpala
;
Russell Tessier
;
Daniel E. Holcomb
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Reliability;
Bit error rate;
Error correction codes;
Cryptography;
Error correction;
84.
A survey on reconfigurable accelerators for cloud computing
机译:
云计算可重构加速器的调查
作者:
Christoforos Kachris
;
Dimitrios Soudris
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Cloud computing;
Field programmable gate arrays;
Hardware;
Data analysis;
Benchmark testing;
Sparks;
Measurement;
85.
XNoC: A non-intrusive TDM circuit-switched Network-on-Chip
机译:
XNOC:非侵入式TDM电路交换网络
作者:
Tuan D. A. Nguyen
;
Akash Kumar
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Nickel;
Time division multiplexing;
Runtime;
Decentralized control;
Centralized control;
Clocks;
Routing;
86.
A low-power MTJ-based nonvolatile FPGA using self-terminated logic-in-memory structure
机译:
基于低功耗MTJ的非挥发性FPGA,使用自终止的逻辑内存结构
作者:
Daisuke Suzuki
;
Takahiro Hanyu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Phase change random access memory;
Benchmark testing;
MOS devices;
Multiplexing;
Transistors;
87.
Semi-dense SLAM on an FPGA SoC
机译:
在FPGA Soc上半密度猛击
作者:
Konstantinos Boikos
;
Christos-Savvas Bouganis
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Simultaneous localization and mapping;
Field programmable gate arrays;
Hardware;
Optimization;
Software;
Jacobian matrices;
88.
JetStream: An open-source high-performance PCI Express 3 streaming library for FPGA-to-Host and FPGA-to-FPGA communication
机译:
Jetstream:用于FPGA到主机和FPGA到FPGA通信的开源高性能PCI Express 3流式传输库
作者:
Malte Vesper
;
Dirk Koch
;
Kizheppatt Vipin
;
Suhaib A. Fahmy
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Registers;
Bandwidth;
Payloads;
Throughput;
Engines;
Hardware;
89.
LYNX: CAD for FPGA-based networks-on-chip
机译:
LYNX:基于FPGA的片上CAD
作者:
Mohamed S. Abdelfattah
;
Vaughn Betz
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Field programmable gate arrays;
Ports (Computers);
Design automation;
Throughput;
Hardware design languages;
Clustering algorithms;
Multiplexing;
90.
Dimensionality reduction of hyperspectral images using reconfigurable hardware
机译:
使用可重构硬件的高光谱图像的维数减少
作者:
Daniel Fenzández
;
Carlos González
;
Daniel Mozos
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Principal component analysis;
Hyperspectral imaging;
Field programmable gate arrays;
Covariance matrices;
Hardware;
Real-time systems;
91.
Runtime-quality tradeoff in partitioning based multithreaded packing
机译:
基于划分的多线程包装中的运行时质量权衡
作者:
Dries Vercruyce
;
Elias Vansteenkiste
;
Dirk Stroobandt
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Delays;
Runtime;
Field programmable gate arrays;
Multiprocessor interconnection;
Video recording;
Pins;
92.
A highly-dense mixed grained reconfigurable architecture with overlay crossbar interconnect using via-switch
机译:
具有覆盖横杆互连的高密度混合粒度可再配置架构,使用VIA开关
作者:
Junshi Hotate
;
Takashi Kishimoto
;
Toshiki Higashi
;
Hiroyuki Ochi
;
Ryutaro Doi
;
Munehiro Tada
;
Tadahiko Sugibayashi
;
Kazutoshi Wakabayashi
;
Hidetoshi Onodera
;
Yukio Mitsuyama
;
Masanori Hashimoto
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Repeaters;
Table lookup;
Delays;
93.
Quantifying observability for in-system debug of high-level synthesis circuits
机译:
量化高级合成电路的系统内部调试的可观察性
作者:
Jeffrey Goeders
;
Steven J.E. Wilton
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Debugging;
Software;
Hardware;
Observability;
Measurement;
Computer bugs;
Field programmable gate arrays;
94.
Annotation-based finite-state transducers on reconfigurable devices
机译:
可重新配置设备上的注释的有限状态传感器
作者:
Raphael Polig
;
Kubilay Atasu
;
Christoph Hagleitner
;
Theresa Xu
;
Akihiro Nakayama
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Grammar;
Computer architecture;
Transducers;
Field programmable gate arrays;
Pipelines;
Registers;
Engines;
95.
Fast hierarchical NPN classification
机译:
快速等级NPN分类
作者:
Ana Petkovska
;
Mathias Soeken
;
Giovanni De Micheli
;
Paolo Ienne
;
Alan Mishchenko
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Heuristic algorithms;
Algorithm design and analysis;
Classification algorithms;
Runtime;
Libraries;
Boolean functions;
Buildings;
96.
Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs
机译:
HopLite-DSP:利用Xilinx DSP48多路复用器,以有效地支持FPGA上的NOC
作者:
Kumar H B Chethan
;
Nachiket Kapre
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Digital signal processing;
Multiplexing;
Field programmable gate arrays;
Table lookup;
Routing;
Layout;
Random access memory;
97.
Optimizing interconnection complexity for realizing fixed permutation in data and signal processing algorithms
机译:
优化互连复杂度实现数据和信号处理算法中的固定置换
作者:
Ren Chen
;
Viktor K. Prasanna
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Multiplexing;
98.
SoC and FPGA oriented high-quality stereo vision system
机译:
SoC和FPGA导向高质量的立体视觉系统
作者:
Yanzhe Li
;
Kai Huang
;
Luc Claesen
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Image segmentation;
Hardware;
Algorithm design and analysis;
Software algorithms;
Transforms;
Software;
Field programmable gate arrays;
99.
Memory efficient and high performance key-value store on FPGA using Cuckoo hashing
机译:
使用Cuckoo Hashing的FPGA记忆高效和高性能键值存储
作者:
Wei Liang
;
Wenbo Yin
;
Ping Kang
;
Lingli Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Pipelines;
Arrays;
Throughput;
Field programmable gate arrays;
Memory management;
Clocks;
Random access memory;
100.
Runtime reconfigurable beamforming architecture for real-time sound-source localization
机译:
运行时可重新配置波束成形架构,用于实时声源定位
作者:
Bruno da Silva
;
Laurent Segers
;
An Braeken
;
Abdellah Touhafi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2016年
关键词:
Array signal processing;
Computer architecture;
Microphone arrays;
Delays;
Micromechanical devices;
Runtime;
意见反馈
回到顶部
回到首页