掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
European mask and lithography conference
European mask and lithography conference
召开年:
2010
召开地:
Grenoble(FR)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Increasing Mask Yield Through Repair Yield Enhancement Utilizing the MeRiT~?
机译:
通过使用MeRiT〜?提高修复产量来增加掩模产量。
作者:
Anthony Garetto
;
Jens Oster
;
Markus Waiblinger
;
Klaus Edinger
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
MeRiT~? MG 45;
AIMS? 45-1931;
AIMS? 248;
Repair Verification;
mask repair;
defect repair;
electron beam repair;
repair yield;
yield enhancement;
2.
Study of the molecular contaminants deposition on Cr, MoSi and SiO_2 surfaces representative of photomasks layers
机译:
研究分子污染物在代表光掩模层的Cr,MoSi和SiO_2表面上的沉积
作者:
Herve Fontaine
;
Sylviane Cetre
;
Gaeel Demenet
;
Fabien Piallat
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
AMC;
SO_2;
organic outgassing;
deposition kinetic;
sticking coefficient;
crystal growth / haze;
3.
Defect Inspection with an EUV Microscope
机译:
用EUV显微镜检查缺陷
作者:
Stefan Herbert
;
Aleksey Maryasov
;
Larissa Juschkin
;
Rainer Lebert
;
Klaus Bergmann
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EUV defects;
actinic mask blank inspection;
EUV microscopy;
metrology;
4.
Mask cleaning process evaluation and modeling
机译:
面膜清洁工艺评估和建模
作者:
Pavel Nesladek
;
Steve Osborne
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
clean process evaluation;
particle removal efficiency;
process modeling;
kinetics of cleaning;
5.
Deep-UV KrF Lithography for the Fabrication of Bragg Gratings on SOI Rib Waveguides
机译:
深紫外KrF光刻技术在SOI肋形波导上制造布拉格光栅
作者:
J. Bauer
;
D. Stolarek
;
L. Zimmermann
;
I. Giuntoni
;
U. Haak
;
H. Richter
;
S. Marschmeyer
;
A. Gajda
;
J. Bruns
;
K. Petermann
;
B. Tillack
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
RET (resolution enhancement technology);
KrF lithography;
silicon photonic;
bragg grating;
6.
Mask phase and transmission variation effects on wafer critical dimensions for nodes 65nm and 45nm
机译:
掩模相位和透射率变化对65nm和45nm节点的晶圆关键尺寸的影响
作者:
F.Dufaye
;
S.Gough
;
F.Sundermann
;
V.Farys
;
H.Miyashita
;
L.Sartelli
;
F. Perissinotti
;
U.Buttgereit
;
S.Perlitz
;
R.Birkner
会议名称:
《European mask and lithography conference》
|
2010年
7.
Study of Real Defects on EUV Blanks and a Strategy for EUV Mask Inspection
机译:
EUV毛坯的真实缺陷研究和EUV面罩检查策略
作者:
Sungmin Huh
;
Abbas Rastegar
;
Stefan Wurm
;
Kenneth Goldberg
;
Iacopo Mochi
;
Toshio Nakajima
;
Masahiro Kishimoto
;
Mitsuhiko Komakine
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EUV;
mask;
phase defect;
confocal DUV inspection;
actinic inspection;
8.
E-beam induced EUV photomask repair - a perfect match
机译:
电子束诱导的EUV光掩模修复-完美匹配
作者:
M. Waiblinger
;
K. Kornilov
;
T. Hofmann
;
K. Edinger
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
MeRiT MG 45;
MeRiT HR 32;
mask repair;
defect repair;
absorber defect;
multilayer defect;
electron beam repair;
spontaneous etching;
passivation;
9.
Low voltage resist processes developed for MAPPER tool first exposures
机译:
为MAPPER工具首次曝光开发的低电压抗蚀剂工艺
作者:
D. Rio
;
C. Constancias
;
J. van Nieuwstadt
;
J. Vijverberg
;
S. Derrough
;
B. Icard
;
L. Pain
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
low voltage;
Ebeam;
lithography;
multibeam;
MAPPER;
HSQ;
PMMA;
FTIR;
10.
Mask Lithography for Display Manufacturing
机译:
显示器制造用掩模光刻
作者:
T. Sandstrom
;
P. Ekberg
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
FPD;
AMLCD;
TFT-LCD;
OLED;
AMOLED;
CRT;
shadow mask;
lithography;
manufacturing;
photomask;
reticle;
3D;
11.
Proximity Effect Correction Sensitivity Analysis
机译:
邻近效应校正灵敏度分析
作者:
Alex Zepka
;
Rainer Zimmermann
;
Wolfgang Hoppe
;
Martin Schulz
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
proximity correction;
PEC;
e-beam lithography;
simulation;
verification;
12.
Projection mask-less lithography and nanopatterning with electron and ion multi-beams
机译:
电子和离子多束无投影掩模光刻和纳米图案
作者:
Christof Klein
;
Elmar Platzgummer
;
Hans Loeschner
会议名称:
《European mask and lithography conference》
|
2010年
13.
Actinic EUVL mask blank inspection and phase defect characterization
机译:
光化EUVL掩模空白检查和相缺陷表征
作者:
Takeshi Yamane
;
Teruo Iwasaki
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Osamu Suga
;
Toshihisa Tomie
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EUVL mask;
actinic inspection;
real defect;
phase defect;
particle;
14.
Aerial Plane Inspection for Advanced Photomask Defect Detection
机译:
用于高级光掩模缺陷检测的飞机检查
作者:
Won Sun Kim
;
Jin Hyung Park
;
Dong Hoon Chung
;
Chan Uk Jeon
;
Han Ku Cho
;
Trent Hutchinson
;
Oscar (JeonHung) Lee
;
William Huang
;
Aditya Dayal
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
aerial plane inspection;
API;
reticle plane inspection;
RPI;
lithographic simulation;
15.
Mask Industry Assessment Trend Analysis: 2010
机译:
口罩行业评估趋势分析:2010
作者:
Greg Hughes
;
Henry Yun
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
16.
Impact of mask absorber on EUV imaging performance
机译:
掩模吸收剂对EUV成像性能的影响
作者:
Eelco van Setten
;
Cheuk Wah Man
;
Rogelio Murillo
;
Sjoerd Lok
;
Koen van Ingen Schenau
;
Kees Feenstra
;
Christian Wagner
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EUV lithography;
imaging;
masks;
resist;
17.
Overview of IP Error Compensation Techniques for EUVL
机译:
EUVL的IP错误补偿技术概述
作者:
Pradeep Vukkadala
;
Deepak Patil
;
Roxann L. Engelstad
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
extreme ultraviolet lithography (EUVL);
EUVL mask and chuck nonflatness;
finite element (FE) models;
thin-film deposition;
etching;
image placement (IP) error compensation;
neutral surface;
18.
Contributions to EUV mask metrology infrastructure
机译:
对EUV掩模计量基础设施的贡献
作者:
Azadeh Farahzadi
;
Rainer Lebert
;
Markus Benk
;
Larissa Juschkin
;
Stefan Herbert
;
Aleksey Maryasov
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EUV-masks;
EUV-reflectometry;
EUV actinic metrology;
EUV Sources;
19.
Multi Shaped Beam Data Preparation
机译:
多形光束数据准备
作者:
U.Weidenmueller
;
H.-J.Doering
;
R.Jaritz
;
D.Melzer
;
A.Stoeckel
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
electron beam lithography;
multi shaped beam;
MSB;
Single Shaped Beam;
SSB;
VSB;
data preparation;
fracturing;
shot count reduction;
beamlet;
multi shape array;
20.
Checkerboard pattern for PSF parameter determination in electron beam lithography
机译:
电子束光刻中确定PSF参数的棋盘图案
作者:
Manuela Gutsch
;
Kang-Hoon Choi
;
Martin Freitag
;
Marc Hauptmann
;
Christoph Hohle
;
Philipp Jaschinsky
;
Katja Keil
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
EBDW;
E-beam lithography;
point spread function;
PSF parameter;
proximity effect correction;
PEC;
EBPC;
ML2;
21.
Update on Next Generation Metrology Tool for DPL Reticles
机译:
用于DPL标线的下一代度量工具的更新
作者:
Klaus-Dieter Roeth
;
Jochen Bender Frank Laske
;
Dieter Adam
;
Karl-Heinrich Schmidt
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
registration metrology;
mask metrology;
double patterning lithography;
advanced reticles;
through-pellicle measurement;
in-die registration measurement;
LMS IPRO4;
22.
CD forecasting in resist by means of scatterometry
机译:
通过散射法预测抗蚀剂中的CD
作者:
Jan Richter
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
CD-measurement;
cycle time;
scatterometry;
CD-SEM;
23.
A 193 nm microscope for CD metrology for the 32nm node and beyond
机译:
193 nm显微镜,用于32 nm及更高节点的CD计量
作者:
Bernd Bodermann
;
Zhi Li
;
Frank Pilarski
;
Detlef Bergmann
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
CD-metrology;
mask-metrology;
optical microscopy;
DUV microscopy;
resolution enhancement;
structured illumination;
32 nm node;
optical sectioning capability;
fourier scatterometry;
24.
DEPLOYMENT OF OASIS IN THE SEMICONDUCTOR INDUSTRY - STATUS, DEPENDENCIES AND OUTLOOK
机译:
半导体工业中的绿洲部署-现状,依赖和前景
作者:
Joseph C. Davis
;
Steffen Schulze
;
Sai Fu
;
Yijun Tong
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
OASIS;
OASIS.MASK;
mask data preparation;
mask economics;
25.
Rigorous EMF simulation of absorber shape variations and their impact on lithographic processes
机译:
严格的EMF模拟吸收体形状变化及其对光刻工艺的影响
作者:
Z. Rahimi
;
A. Erdmann
;
P. Evanschitzky
;
C. Pflaurn
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
rigorous EMF simulation;
mask;
light diffraction;
critical dimension;
finite integration technique (FIT);
26.
Efficient simulation of three dimensional EUV masks for rigorous source mask optimization and mask induced imaging artifact analysis
机译:
高效仿真三维EUV掩模,以进行严格的源掩模优化和掩模诱发的成像伪影分析
作者:
P. Evanschitzky
;
T. Fuehner
;
F. Shao
;
A . Erdmann
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
rigorous mask simulation;
image simulation;
source mask optimization;
mask induced imaging artifacts;
27.
Modified Dose Correction Strategy for better Pattern Contrast
机译:
改进的剂量校正策略可改善图案对比度
作者:
R. Galler
;
D. Melzer
;
M. Boettcher
;
M. Krueger
;
M. Suelzle
;
C. Wagner
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
ePLACE;
data prep;
electron proximity effect;
PROXECCO;
PEC;
simulation;
exposure contrast;
geometrically induced dose correction;
LWR;
process proximity function;
PPF;
28.
193nm resist deprotection study from outgassing measurements by TD-GCMS/FID
机译:
193nm抗蚀剂脱保护研究,通过TD-GCMS / FID进行脱气测量
作者:
Raluca Tiron
;
Samir Derrough
;
Herve Fontaine
;
Sylviane Cetre
;
Damien Perret
;
James W. Thackeray
;
Patrick Paniez
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
diffusion;
PAG;
TD- GCMS;
193nm chemically amplified resists;
outgassing;
residual casting solvent;
29.
Template Masters for Substrate Conformal Imprint Lithography generated by Charged Particle Nanopatterning techniques
机译:
通过带电粒子纳米图案化技术生成的基板共形压印光刻模板母版
作者:
Falco van Delft
;
Robert van de Laar
;
Marc Verschuuren
;
Elmar Platzgummer
;
Hans Loeschner
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
charged particle nanopatterning;
CHARPAN;
substrate conformal imprint lithography;
SCIL;
template;
master;
hydrogen silsesquioxane;
HSQ;
30.
Positive or negative tone resist for a T-NIL / UVL hybrid process
机译:
用于T-NIL / UVL混合工艺的正性或负性抗蚀剂
作者:
Saskia Mollenbeck
;
Niocolas Bogdanski
;
Andre Mayer
;
Hella-Christin Scheer
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
thermal nanoimprint;
hybrid lithography;
T-NIL and UVL;
resist tone;
31.
Antisticking Layers on Antireflective Chromium for Hybrid (CNP) Nanoimprint Molds
机译:
混合(CNP)纳米压印模具的抗反射铬上的抗粘层
作者:
R. Kirchner
;
B. Adolphi
;
R. Landgraf
;
W.-J. Fischer
会议名称:
《European mask and lithography conference》
|
2010年
关键词:
nanoimprinting;
antisticking layer;
antireflective chromium;
combined nanoimprint and photolithography;
hybrid mold;
residual layer;
direct structuring;
aspect ratio;
32.
Mounting Methodologies to Measure EUV Reticle Nonflatness
机译:
安装方法以测量EUV掩盖非变化
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
extreme ultraviolet lithography (EUVL);
EUVL reticle;
EUVL substrate nonflatness;
interferometric measurements;
finite element modeling;
33.
New writing strategy in electron beam direct write lithography to improve critical dense lines patterning for sub-45nm nodes
机译:
电子束的新写入策略直接写入光刻,从而改善子45nm节点的临界密集线图案
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
electron beam lithography;
process improvement;
resolution;
EBPC;
energy latitude;
34.
EUV Actinic Defect Inspection and Defect Printability at the Sub-32 nm Half-pitch
机译:
euv缺陷检查和缺陷的副32 nm半间距
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUV;
mask;
phase defect;
DUV inspection;
actinic inspection;
35.
Reduced Pellicle Impact on Overlay using High Order Intrafield Grid Corrections
机译:
使用高阶内网格校正减少对覆盖物的薄膜影响
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
pellicle;
distortion;
photomask;
registration;
mask metrology;
grid corrections;
36.
Lithography Development and Research Challenges for the ≤ 22 nm Half-pitch
机译:
光刻开发和研究临时≤22nm半间距
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
lithography;
EUV;
nanoimprint;
mask inspection;
37.
Improving Yield and Cycle Time at the Inspection Process by means of a new Defects Disposition Technique
机译:
通过新的缺陷配置技术提高检查过程的产量和循环时间
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
Litho2;
aerial image simulation (AIMS? ZEISS);
die to database inspection (DB);
die to die inspection (DD);
CETUS;
critical dimensions (CD);
terascan T576 inspection pixels (P90 - P125);
terastar SLF87;
38.
Increasing inspection equipment productivity by utilizing Factory Automation SW on TeraScan 5XX systems
机译:
通过在Terascan 5xx系统上使用工厂自动化SW,提高检验设备的生产率
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
factory automation;
equipment productivity;
equipment performance tracking;
remote control;
inspection strategy;
39.
Effects of mask absorber thickness on printability in EUV lithography with high resolution resist
机译:
面罩吸收器厚度对高分辨率高分辨率光刻印刷性的影响
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUV lithography;
mask;
absorber;
shadowing effect;
reflectivity;
phase;
resist;
40.
Resolution capability of EBM-6000 and EBM-7000 for Nano-imprint template
机译:
用于纳米印记模板的EBM-6000和EBM-7000的分辨率
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
Nano-imprint Lithography;
resolution;
EB mask writer;
full field template;
41.
Molecular dynamics study on mold fracture by nano scale defects in nanoimprint lithography
机译:
纳米压印光刻中纳米垢缺陷模具骨折的分子动力学研究
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
nanoimprint;
mold;
silicon;
fracture;
defect;
yield stress;
molecular dynamics;
42.
Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
机译:
使用电子束直接写入通过金属修复设计验证SUB 70 NM DRAM节点
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EBDW;
mix amp;
match;
E-Beam lithography;
design verification;
metal fix;
43.
High speed (>100 Gbps) key components for a scalable optical data link, to be implemented in future maskless lithography applications
机译:
可扩展光学数据链路的高速(> 100 Gbps)关键组件,以在未来的屏蔽光刻应用中实现
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
maskless lithography;
integrated optical receiver;
data buffering;
BiCMOS technology;
optical data path;
44.
Registration Metrology on Double Patterning Reticles
机译:
双图案化标准的注册计量
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
double patterning;
overlay;
mask metrology;
registration metrology;
pattern placement;
45.
Decomposition Algorithm for Double Patterning of Contacts and Via Layers
机译:
双图案化触点和通过层的分解算法
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
double patterning;
pitch splitting;
contact layer;
46.
Sub-30 nm defect removal on EUV substrates
机译:
EUV基材上的30 nm缺陷去除
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUVL;
mask blank defects;
sub 30 nm defects cleaning;
47.
EUV and DUV scatterometry for CD and edge profile metrology on EUV masks
机译:
EUV和DUV散射在EUV面具上的CD和边缘剖面计量
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
scatterometry;
CD-metrology;
mask-metrology;
diffraction;
lithography;
critical dimension;
DUV;
EUV;
inverse problem;
48.
MAPPER: HIGH THROUGHPUT MASKLESS LITHOGRAPHY
机译:
映射器:高吞吐掩模光刻
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
maskless lithography;
MAPPER;
exposure results;
49.
UV NIL template making and imprint evaluation
机译:
UV零模板制作和版本记录评估
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
UV NIL;
template;
EB writing;
photomask;
50.
Mask salvage in the age of capital contraction
机译:
在资本收缩时代的面罩抢救
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
mask yield;
mask cost;
mask salvage;
mask repair;
51.
The task of EUV-reflectometry for HVM of EUV-masks: first steps
机译:
EUV反射测量的任务为EUV-Masks HVM:第一步
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUV-masks;
EUV-reflectometry;
metrology;
accuracy;
precision;
fiducial mark;
52.
SEMATECH Mask Program
机译:
Sematech面具计划
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUVL;
SEMATECH;
mask infrastructure;
mask blank development;
53.
Deflection Unit for Multi-Beam Mask Making
机译:
用于多光束掩模制作的偏转单元
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
multi-beam;
ion-beam;
e-beam;
pattern generator;
54.
Nuisance Event Reduction Using Sensitivity Control Layers (SCL) for Advanced Photomask Inspection
机译:
使用灵敏度控制层(SCL)进行滋扰事件减少用于高级Photomask检查
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
sensitivity control layer (SCL);
die-to-database;
reticle inspection;
photomask inspection;
55.
Mask Industry Assessment Trend Analysis
机译:
面具行业评估趋势分析
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
56.
High Resolution Cell Projection
机译:
高分辨率电池投影
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
electron beam lithography;
cell projection;
maskless lithography;
CP;
EBDW;
SB3054;
57.
Particle transport and reattachment on a mask surface
机译:
颗粒传输和掩模表面上的重新附图
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
particle removal;
cleaning mechanism;
media flow;
spin versus bath processes;
particle dot tests;
58.
CDP - Application of focus drilling
机译:
CDP - 焦点钻井的应用
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
RET (resolution enhancement technology);
CDP;
tilted stage;
binary masks;
KrF lithography;
59.
MeRiT~? repair verification using in-die phase metrology Phame~?
机译:
Merit〜?使用模态阶段计量术语进行修复验证〜?
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
phame;
PSM;
phase metrology;
merit;
repair;
ebeam repair;
60.
Lithography light source challenges for Double Patterning and EUVL
机译:
双重图案化和EUVL的光刻光源挑战
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
excimer;
laser;
double patterning;
EUVL;
LPP;
61.
Residual-free imprint for sensor definition
机译:
None
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
thermal imprint;
residual free;
partial cavity filling;
62.
Contamination control for ArF photo masks
机译:
None
会议名称:
《European mask and lithography conference》
|
2009年
63.
Electron beam inspection methods for imprint lithography at 32 nm
机译:
用于32nm的压印光刻电子束检查方法
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
step and flash imprint lithography;
S-FIL;
imprint lithography;
template;
imprint mask;
electron beam;
electron beam inspection;
64.
Advanced proximity matching with Pattern Matcher
机译:
高级接近与模式匹配器匹配
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
lithography;
proximity matching;
pattern matcher;
65.
Monte-Carlo Simulations of Image Analysis for flexible and high-resolution Registration Metrology
机译:
灵活高分辨率注册计量图像分析的Monte-Carlo模拟
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
photomask metrology;
registration;
pattern placement;
CD metrology;
Monte-Carlo simulation;
image analysis;
66.
Mask parameter variation in the context of the overall variation budget of an advanced logic wafer Fab
机译:
屏蔽参数变化在高级逻辑晶片Fab的整体变化预算的上下文中
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
ring oscillator;
mask;
ACLV;
CD-budget;
overlay;
67.
Innovative processes investigation for photomask pod conditioning and drying
机译:
Photomask Pod调节和干燥的创新过程调查
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
pods;
conditioning process;
drying process;
AMC;
contamination;
haze;
68.
A Solution to Meet New Challenges on EBDW Data Prep
机译:
解决EBDW Data Prep的新挑战的解决方案
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
ePlace;
data prep;
distributed computing;
MGS;
PROXECCO;
LES;
line end shortening;
simulation;
PEC;
EPC;
cell projection;
electron-beam lithography;
EBDW;
69.
SEM image contrast modeling for mask and wafer metrology
机译:
掩模和晶圆测量学的SEM图像对比度模型
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
CD metrology;
CD-SEM;
linewidth metrology;
Monte Carlo simulation;
image contrast modeling;
70.
Error-budget paradigms and laser mask pattern generator evolution
机译:
错误预算范例和激光掩模模式发生器演化
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
laser mask pattern generator;
error budget;
rasterization;
gray level;
pattern density;
critical dimension;
uniformity;
gaussian;
convolution;
process error;
71.
Mask contribution on CD OVL errors budgets for Double Patterning Lithography
机译:
对CD&OVL错误预算的面具贡献是双重图案化光刻的预算
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
mask CD control;
mask registration;
wafer metrology;
pellicle;
distortion;
double patterning technology (DPT);
72.
EUV imaging performance - moving towards production
机译:
EUV成像性能 - 向生产迈进
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUV lithography;
imaging;
masks;
resist;
73.
Ion Beam Deposition for Defect-Free EUVL Mask Blanks
机译:
用于无缺陷的EUVL掩模坯料的离子束沉积
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
EUV;
EUVL;
mask defects;
ion beam deposition;
ion beam etch;
defect smoothing;
74.
New methods and processes based on advanced vacuum technology for photomask decontamination
机译:
基于高级真空技术的光掩模去污的新方法和过程
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
vacuum process;
AMC;
contamination;
haze;
decontamination;
75.
Extended Abbe approach for fast and accurate lithography imaging simulations
机译:
扩展ABBE用于快速准确的光刻成像模拟方法
会议名称:
《European mask and lithography conference》
|
2009年
关键词:
extended abbe approach;
lithography simulation;
image simulation;
76.
Enhanced e-beam pattern writing for nano-optics based on character projection
机译:
基于角色投影的纳米光学增强的电子束图案写入
作者:
E.-Bernhard Kley
;
Holger Schmidt
会议名称:
《European mask and lithography conference》
|
2012年
77.
Advanced metrology techniques for the characterization of EUV mask blank defects
机译:
高级计量技术,用于表征EUV掩模空白缺陷
作者:
Jenah Harris-Jones
;
Vibhu Jindal
;
C. C. Lin
;
Tonmoy Chakraborty
;
Emilio Stinzianni
;
Ranganath Teki
;
Hyuk Joo Kwon
会议名称:
《European mask and lithography conference》
|
2012年
78.
Mask write time reduction: deployment of advanced approaches and their impact on established work models
机译:
掩模写入时间减少:部署高级方法及其对既定工作模型的影响
作者:
S. F. Schulze
;
A. Elayat
;
T. Lin
;
E. Sahouria
会议名称:
《European mask and lithography conference》
|
2012年
79.
Improvements on Corner2, a lossless layout image compression algorithm for maskless lithography systems
机译:
转角的改进,无掩模光刻系统的无损布局图像压缩算法
作者:
Jeehong Yang
会议名称:
《European mask and lithography conference》
|
2012年
80.
AIMS D2DB simulation for DUV and EUV mask inspection
机译:
针对DUV和EUV面罩检查的D2DB仿真
作者:
Danping Peng
;
Ying Li
;
Masaki Satake
;
Peter Hu
会议名称:
《European mask and lithography conference》
|
2012年
81.
Front Matter: Volume 8352
机译:
正面问题:第8352卷
作者:
Proceedings of SPIE
会议名称:
《European mask and lithography conference》
|
2012年
82.
Lithographic aspects for the fabrication of BiCMOS embedded bio-MEMS and RF-MEMS
机译:
BICMOS嵌入生物MEMS和RF-MEMS制备的光刻方面
作者:
P. Kulse
;
M. Birkholz
;
K.-E. Ehwald
;
M. Kaynak
;
M. Wietstruck
;
J. Bauer
;
J. Drews
;
K. Schulz
会议名称:
《European mask and lithography conference》
|
2012年
83.
EUVL defect printability: an industry challenge
机译:
EUVL缺陷可印刷性:行业挑战
作者:
Hyuk Joo Kwon
;
Ranganath Teki
;
Jenah Harris-Jones
;
Aaron Cordes
会议名称:
《European mask and lithography conference》
|
2012年
84.
Phase-shift at subwavelength holographic lithography (SWHL)
机译:
子波长全息光刻(SWHL)的相移
作者:
Mikhail V. Borisov
;
Dmitriy A. Chelyubeev
;
Vitalij V. Chernik
;
Alexander A. Gavrikov
;
Dmitriy Yu. Knyazkov
;
Petr A. Mikheev
;
Vadim I. Rakhovsky
;
Alexey S. Shamaev
会议名称:
《European mask and lithography conference》
|
2012年
85.
Cleaning aspects of material choice for high end mask manufacturing
机译:
用于高端面罩制造的材料选择的清洁方面
作者:
Pavel Nesladek
;
Stefan Rümmelin
会议名称:
《European mask and lithography conference》
|
2012年
86.
Towards the optical inspection sensitivity optimization of EUV masks and EUVL-exposed wafers
机译:
朝向EUV面罩和EUVL暴露晶片的光学检查敏感性优化
作者:
U. Okoroanyanwu
会议名称:
《European mask and lithography conference》
|
2012年
87.
A novel tool for frequency assisted thermal nanoimprint (T-NIL)
机译:
频率辅助热纳米印刷品(T-NIL)的新型工具
作者:
Andre Mayer
;
Khalid Dhima
;
Saskia M?llenbeck
;
Si Wang
;
Hella-Christin Scheer
会议名称:
《European mask and lithography conference》
|
2012年
88.
MEMS: fabrication of cryogenic bolometers
机译:
MEMS:低温钻孔器的制作
作者:
J. Kunert
;
S. Anders
;
T. May
;
V. Zakosarenko
;
G. Zieger
;
H.-G. Meyer
会议名称:
《European mask and lithography conference》
|
2012年
89.
EUVL mask performance and optimization
机译:
EUVL面具性能和优化
作者:
Natalia Davydova
;
Robert de Kruif
;
Eelco van Setten
;
Karolien Mehagnoul
会议名称:
《European mask and lithography conference》
|
2012年
90.
Impact of reticle absorber on the imaging properties in ArFi lithography
机译:
掩模压吸收器对Arfi光刻成像性质的影响
作者:
Jo Finders
;
O. Mouraille
;
A. Bouma
;
A. Ngai
;
K. Grim
;
J. van Praagh
;
C. Toma
会议名称:
《European mask and lithography conference》
|
2012年
91.
Integrated cleaning and handling automation of NXE3100 reticles
机译:
NXE3100标题的综合清洁和处理自动化
作者:
Rik Jonckheere
;
Bart Baudemprez
;
Kurt Ronse
会议名称:
《European mask and lithography conference》
|
2012年
92.
Nanoimprint activities in Austria in the research project cluster NILaustria
机译:
奥地利的纳诺米印刷品在研究项目集群中尼利布里亚
作者:
Michael Mühlberger
;
Hannes Fachberger
;
Iris Bergmair
;
Michael Rohn
;
Bernd Dittert
;
Rainer Sch?ftner
会议名称:
《European mask and lithography conference》
|
2012年
93.
Correcting image placement errors using registration control (RegC) technology in the photomask periphery
机译:
使用光掩模外围中的注册控制(REGC)技术校正图像放置误差
作者:
Avi Cohen
;
Guy Ben-Zvi
;
Erez Graitzer
;
Vladimir Dmitriev
会议名称:
《European mask and lithography conference》
|
2012年
94.
The effect of puddle megasonic cleaning for advanced photomask with subresolution assist features (SRAFs)
机译:
Puddle Megasonic清洁对亚级辅助辅助特征(SRAFS)的高级光掩模的影响
作者:
Ming-Chih Chen
;
Hsiang-Jen Yang
;
Chen-Rui Tseng
会议名称:
《European mask and lithography conference》
|
2012年
95.
High quality mask storage in an advanced Logic-Fab
机译:
高级屏蔽存储在高级逻辑 - 工厂中
作者:
Carmen J?hnert
;
Silvio Fritsche
会议名称:
《European mask and lithography conference》
|
2012年
96.
Investigation and mitigation of field-edge CDU fingerprint for ArFi lithography for 45-nm to sub-28-nm logic nodes
机译:
ARFI光刻的实地边缘CDU指纹调查和减轻45-NM到SUB-28-NM逻辑节点
作者:
Bertrand Le-Gratiet
;
Karine Jullian
会议名称:
《European mask and lithography conference》
|
2012年
97.
A fast approach to model EUV mask 3D and shadowing effects
机译:
一种快速的euv掩模3D和阴影效果的方法
作者:
Ying Li
;
Danping Peng
;
Masaki Satake
;
Peter Hu
会议名称:
《European mask and lithography conference》
|
2012年
98.
Through pellicle management of haze formation in a wafer fabrication environment
机译:
通过晶圆制造环境中的雾霾形成的薄膜管理
作者:
Alexander Figliolini
;
Michael Archuletta
;
Jeff LeClaire
;
David Brinkley
;
David Doerr
;
Roy White
;
Ron Bozak
;
David A. Lee
会议名称:
《European mask and lithography conference》
|
2012年
99.
EUV mask defects and their removal
机译:
EUV掩模缺陷及其拆除
作者:
Abbas Rastegar
;
Vibhu Jindal
会议名称:
《European mask and lithography conference》
|
2012年
100.
PSM and thin OMOG reticles aerial imaging metrology comparison study
机译:
PSM和薄OMOG括号括号空中影像学测量比较研究
作者:
Yaron Cohen
;
Shmoolik Mangan
会议名称:
《European mask and lithography conference》
|
2012年
意见反馈
回到顶部
回到首页