掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
47th ACM/IEEE Design Automation Conference
47th ACM/IEEE Design Automation Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Scalable specification mining for verification and diagnosis
机译:
可扩展的规范挖掘,用于验证和诊断
作者:
Li Wenchao
;
Forin Alessandro
;
Seshia Sanjit A.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Formal specification;
assertions;
debugging;
diagnosis;
error localization;
post-silicon validation;
verification;
2.
Distributed time, conservative parallel logic simulation on GPUs
机译:
在GPU上进行分布式时间保守并行逻辑仿真
作者:
Wang Bo
;
Zhu Yuhao
;
Deng Yangdong
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
CMB algorithm;
Discrete event simulation;
GPU;
Gatelevel simulation;
3.
An efficient test vector generation for checking analog/mixed-signal functional models
机译:
用于检查模拟/混合信号功能模型的有效测试向量生成
作者:
Lim Byong Chan
;
Kim Jaeha
;
Horowitz Mark A.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Equivalence checking;
Functional model;
Linear abstraction;
Mixed-signal circuits;
Test vector;
Validation;
Verilog;
4.
Medical Cyber Physical Systems
机译:
医学网络物理系统
作者:
Lee Insup
;
Sokolsky Oleg
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Cyber-Physical Systems;
High-Confidence Medical Systems;
Medical Cyber-Physical Systems;
Medical Device Interoperability Compositionality;
Physiological Closed Loop;
5.
Cyber-physical systems: The next computing revolution
机译:
网络物理系统:下一次计算革命
作者:
(Raj) Rajkumar Ragunathan
;
Lee Insup
;
Sha Lui
;
Stankovic John
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
computer science;
cyber-physical systems;
engineering;
grand challenges;
new frontiers;
6.
CPS foundations
机译:
CPS基础
作者:
Lee Edward A.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Cyber-physical systems;
embedded systems;
7.
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent
机译:
利用UPF提取的断言对架构能力意图进行建模和形式验证
作者:
Hazra Aritra
;
Mitra Srobona
;
Dasgupta Pallab
;
Pal Ajit
;
Bagchi Debabrata
;
Guha Kaustav
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Assertion;
Formal Verification;
Power Intent Verification;
8.
Non-uniform clock mesh optimization with linear programming buffer insertion
机译:
具有线性编程缓冲区插入的非均匀时钟网格优化
作者:
Guthaus Matthew R.
;
Wilke Gustavo
;
Reis Ricardo
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Clock mesh optimization;
robust design;
9.
An efficient phase detector connection structure for the skew synchronization system
机译:
偏斜同步系统的高效鉴相器连接结构
作者:
Kao Yu-Chien
;
Chou Hsuan-Ming
;
Tsai Kun-Ting
;
Chang Shih-Chieh
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Adjustable Delay Buffer;
Phase Detector;
Post-Silicon Tuning;
10.
Joint user track panel (Session 11U) — What will make your next design experience a much better one?
机译:
联合用户跟踪面板(会话11U)—什么将使您的下一个设计体验更好?
作者:
Harms Thomas
;
Caraballo Juan-Antonio
;
DSa Reynold
;
Haring Ruud
;
Urbaniak Derek
;
Wolski Guntram
;
You James
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
11.
Timing analysis of esterel programs on general-purpose multiprocessors
机译:
通用多处理器上的esterel程序时序分析
作者:
Ju Lei
;
Huynh Bach Khoa
;
Roychoudhury Abhik
;
Chakraborty Samarjit
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Esterel;
Multiprocessor;
Synchronous language;
Timing analysis;
12.
An effective GPU implementation of breadth-first search
机译:
广度优先搜索的有效GPU实现
作者:
Luo Lijuan
;
Wong Martin
;
Hwu Wen-mei
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
BFS;
CUDA;
GPU computing;
13.
What's smart about the smart grid?
机译:
智能电网有什么聪明之处?
作者:
Hiskens Ian A.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Cyber-physical systems;
Electricity grid;
Power systems;
14.
On-die power grids: The missing link
机译:
片上电网:缺少的链接
作者:
Chiprout Eli
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Power grid;
decap;
locality;
resonance;
voltage;
15.
A holistic approach for statistical SRAM analysis
机译:
统计SRAM分析的整体方法
作者:
Zuber Paul
;
Dobrovolny Petr
;
Miranda Miguel
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Statistical SRAM analysis;
process variability;
yield prediction;
16.
Clock tree synthesis with pre-bond testability for 3D stacked IC Designs
机译:
具有预键合可测试性的时钟树综合,用于3D堆叠IC设计
作者:
Kim Tak-Yung
;
Kim Taewhan
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
3D ICs;
buffer insertion;
clock tree;
optimization;
routing;
17.
Theoretical analysis of gate level information flow tracking
机译:
门级信息流跟踪的理论分析
作者:
Oberg Jason
;
Hu Wei
;
Irturk Ali
;
Tiwari Mohit
;
Sherwood Timothy
;
Kastner Ryan
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Boolean Logic;
Hardware Security;
Information Flow Tracking;
18.
Towards scalable system-level reliability analysis
机译:
迈向可扩展的系统级可靠性分析
作者:
Glas Michael
;
Lukasiewycz Martin
;
Haubelt Christian
;
Teich Jurgen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Reliability analysis;
SAT-assisted simulation;
early quantification;
19.
Exploiting finite precision information to guide data-flow mapping
机译:
利用有限精度信息指导数据流映射
作者:
Novo David
;
Li Min
;
Fasthuber Robert
;
Raghavan Praveen
;
Catthoor Francky
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
ASIP;
Finite Precision;
Mapping Efficiency;
20.
Speedpath analysis under parametric timing models
机译:
参数时序模型下的速度路径分析
作者:
e Silva Luis Guerra
;
Phillips Joel R.
;
Silveira L. Miguel
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Parametric timing models;
Speedpath analysis;
21.
New model-driven design and generation of multi-facet arbiters part I: From the design model to the architecture model
机译:
新的模型驱动设计和多面仲裁器的生成第一部分:从设计模型到体系结构模型
作者:
Jou Jer Min
;
Wu Sih-Sian
;
Lee Yun-Lung
;
Chou Cheng
;
Jeang Yuan-Long
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Model-driven design flow;
architecture model/template;
design model;
design space;
granularity;
multi-facet arbiter;
22.
Robust design methods for hardware accelerators for iterative algorithms in scientific computing
机译:
科学计算中迭代算法的硬件加速器的稳健设计方法
作者:
Kinsman Adam B.
;
Nicolici Nicola
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Bit-width allocation;
Satisfiability-Modulo Theory;
23.
Pulsed-latch aware placement for timing-integrity optimization
机译:
可识别脉冲锁存器的时序完整性优化
作者:
Chuang Yi-Lin
;
Kim Sangmin
;
Shin Youngsoo
;
Chang Yao-Wen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Physical Design;
Placement;
Pulsed latch;
24.
Smart phone power
机译:
智能手机电源
作者:
John Johnny
;
Riddle Chris
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Smart phone;
design issues;
power dissipation;
25.
Synthesis of the optimal 4-bit reversible circuits
机译:
最佳4位可逆电路的综合
作者:
Golubitsky Oleg
;
Falconer Sean M.
;
Maslov Dmitri
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Logic Synthesis;
Quantum Computing;
Reversible Circuits;
26.
Crosstalk noise and bit error rate analysis for optical network-on-chip
机译:
片上光网络的串扰噪声和误码率分析
作者:
Xie Yiyuan
;
Nikdast Mahdi
;
Xu Jiang
;
Zhang Wei
;
Li Qi
;
Wu Xiaowen
;
Ye Yaoyao
;
Wang Xuan
;
Liu Weichen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
BER;
SNR;
crosstalk;
optical network-on-chip;
27.
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations
机译:
硅片后诊断,归因于制造差异导致的速度路径失效
作者:
Xie Lin
;
Davoodi Azadeh
;
Saluja Kewal K.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Post-Silicon Diagnosis;
Process Variations;
28.
Cross-contamination aware design methodology for pin-constrained digital microfluidic biochips
机译:
引脚受限的数字微流控生物芯片具有交叉污染意识的设计方法
作者:
Lin Cliff Chiung-Yu
;
Chang Yao-Wen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Microfludics;
biochip;
cross-contamination;
design methodology;
29.
Reducing the number of lines in reversible circuits
机译:
减少可逆电路中的线数
作者:
Wille Robert
;
Soeken Mathias
;
Drechsler Rolf
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Optimization;
Quantum Computation;
Reversible Logic;
30.
Synchronization of washing operations with droplet routing for cross-contamination avoidance in digital microfluidic biochips
机译:
数字微流控生物芯片中冲洗操作与液滴路由同步避免交叉污染
作者:
Zhao Yang
;
Chakrabarty Krishnendu
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Droplet-based microfluidics;
electrowetting;
lab-on-chip;
31.
Synthesis of trustable ICs using untrusted CAD tools
机译:
使用不受信任的CAD工具合成可信任的IC
作者:
Potkonjak Miodrag
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Hardware security;
Trusted design;
32.
Performance-driven analog placement considering boundary constraint
机译:
考虑边界约束的性能驱动模拟放置
作者:
Lin Cheng-Wu
;
Lin Jai-Ming
;
Huang Chun-Po
;
Chang Soon-Jyh
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Analog placement;
boundary constraint;
symmetry;
33.
Automatic multithreaded pipeline synthesis from transactional datapath specifications
机译:
根据事务数据路径规范自动进行多线程管道综合
作者:
Nurvitadhi Eriko
;
Hoe James C.
;
Lu Shih-Lien L.
;
Kam Timothy
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Datapath specification;
automatic pipelining;
design exploration of x86 processor pipelines;
hardware synthesis;
multithreading;
34.
Thermal aware task sequencing on embedded processors
机译:
嵌入式处理器上的热感知任务排序
作者:
Zhang Sushu
;
Chatha Karam S.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Dynamic power management;
Dynamic voltage/frequency scaling;
Thermal aware sequencing;
35.
Exploiting reconfigurability for low-cost in-situ test and monitoring of digital PLLs
机译:
利用可重配置性进行低成本的现场测试和数字PLL监控
作者:
Yin Leyi
;
Li Peng
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
all-digital PLLs;
diagnosis;
mixed-signal BIST;
online calibration;
reconfiguration;
36.
A framework for optimizing thermoelectric active cooling systems
机译:
优化热电主动冷却系统的框架
作者:
Long Jieyi
;
Memik Seda Ogrenci
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Optimization;
Thermal runaway;
Thermoelectric cooling;
37.
Post-silicon validation challenges: How EDA and academia can help
机译:
硅验证后的挑战:EDA和学术界如何提供帮助
作者:
Keshava Jagannath
;
Hakim Nagib
;
Prudvi Chinna
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Design;
Emulation;
Test;
Validation;
Verification;
38.
Fast identification of operating current for toggle MRAM by spiral search
机译:
通过螺旋搜索快速识别翻转MRAM的工作电流
作者:
Wang Sheng-Hung
;
Chen Ching-Yi
;
Wu Cheng-Wen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
BIST;
MRAM;
characterization;
reliability;
testing;
yield enhancement;
39.
A robust periodic arnoldi shooting algorithm for efficient analysis of large-scale RF/MM ICs
机译:
强大的周期性arnoldi射击算法,可有效分析大型RF / MM IC
作者:
Liu Xue-Xin
;
Yu Hao
;
Tan Sheldon X.-D.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Krylov Subspace;
Periodic Steady-State Analysis;
Shooting Newton Algorithm;
40.
Distributed task migration for thermal management in many-core systems
机译:
用于多核系统中的热管理的分布式任务迁移
作者:
Ge Yang
;
Malani Parth
;
Qiu Qinru
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Dynamic thermal management;
distributed control;
prediction;
41.
Performance yield-driven task allocation and scheduling for MPSoCs under process variation
机译:
在工艺变化下,性能受收益驱动的MPSoC任务分配和调度
作者:
Huang Lin
;
Xu Qiang
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Performance Yield;
Process Variation;
Task Scheduling;
42.
Parallel program performance modeling for runtime optimization of multi-algorithm circuit simulation
机译:
用于多算法电路仿真的运行时优化的并行程序性能建模
作者:
Ye Xiaoji
;
Li Peng
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Transient simulation;
parallel computing;
performance modeling;
43.
An error tolerance scheme for 3D CMOS imagers
机译:
3D CMOS成像器的容错方案
作者:
(Sherman) Chang Hsiu-Ming
;
Huang Jiun-Lang
;
Kwai Ding-Ming
;
(Tim) Cheng Kwang-Ting
;
Wu Cheng-Wen
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
3D IC;
error tolerance;
image sensor;
44.
Separatrices in high-dimensional state space: System-theoretical tangent computation and application to SRAM dynamic stability analysis
机译:
高维状态空间中的分离:系统理论切线计算及其在SRAM动态稳定性分析中的应用
作者:
Zhang Yong
;
Li Peng
;
Huang Garng M.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
SRAM;
Separatrix;
dynamic stability;
45.
On the costs and benefits of stochasticity in stream processing
机译:
关于流处理中的随机性的成本和收益
作者:
Nadakuditi Raj R.
;
Markov Igor L.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Stream computing;
latency;
stochasticity;
46.
Adaptive and autonomous thermal tracking for high performance computing systems
机译:
高性能计算系统的自适应和自主热跟踪
作者:
Zhang Yufu
;
Srivastava Ankur
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Adaptive;
Power characteristics;
Sensor;
Statistical;
Temperature tracking;
47.
A framework for automatic parallelization, static and dynamic memory optimization in MPSoC platforms
机译:
MPSoC平台中用于自动并行化,静态和动态存储器优化的框架
作者:
Iosifidis Yiannis
;
Mallik Arindam
;
Mamagkakis Stylianos
;
De Greef Eddy
;
Bartzas Alexandros
;
Soudris Dimitrios
;
Catthoor Francky
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Embedded Systems;
MPSoC;
Memory optimization;
48.
Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency
机译:
可扩展的硬件设计:利用算法弹性提高能效
作者:
Chippa Vinay K.
;
Mohapatra Debabrata
;
Raghunathan Anand
;
Roy Kaushik
;
Chakradhar Srimat T.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Approximate Computing;
Low Power Design;
Mining;
Recognition;
Scalable Effort;
Support Vector Machines;
49.
Post-silicon is too late avoiding the $50 million paperweight starts with validated designs
机译:
后硅片为时已晚,避免5000万美元的纸镇始于经过验证的设计为时已晚
作者:
Goodenough John
;
Aitken Rob
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Post-silicon validation;
emulation;
low power design;
50.
Online systemc emulation acceleration
机译:
在线系统仿真加速
作者:
Sirowy Scott
;
Huang Chen
;
Vahid Frank
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Bytecode;
Emulation;
Online Algorithms;
Simulation;
SystemC;
Virtual Machines;
51.
Pareto sampling: Choosing the right weights by derivative pursuit
机译:
帕累托采样:通过求导选择正确的权重
作者:
Singhee Amith
;
Castalino Pamela
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Multi-objective optimization;
Pareto;
derivative pursuit;
tradeoff;
52.
Xetal-Pro: An ultra-low energy and high throughput SIMD processor
机译:
Xetal-Pro:超低能耗,高吞吐量的SIMD处理器
作者:
Yifan He Yu Pu
;
Ye Zhenyu
;
Londono Sebastian M.
;
Kleihorst Richard
;
Abbo Anteneh A.
;
Corporaal Henk
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Hybrid Memory System;
Low-Energy;
SIMD;
Xetal-Pro;
53.
Worst-case response time analysis of resource access models in multi-core systems
机译:
多核系统中资源访问模型的最坏情况响应时间分析
作者:
Schranzhofer Andreas
;
Pellizzoni Rodolfo
;
Chen Jian-Jia
;
Thiele Lothar
;
Caccamo Marco
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
TDMA;
scheduling;
shared resources;
54.
Generation of yield-embedded Pareto-front for simultaneous optimization of yield and performances
机译:
生成收益嵌入的Pareto-front,以同时优化收益和性能
作者:
Liu Yu
;
Yoshioka Masato
;
Homma Katsumi
;
Shibuya Toshiyuki
;
Kanazawa Yuzi
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Analog/mixed-signal;
Optimization;
Pareto-front;
Yield;
55.
Thermal monitoring of real processors: Techniques for sensor allocation and full characterization
机译:
真实处理器的热监控:传感器分配和全面表征的技术
作者:
Nowroz Abdullah Nazma
;
Cochran Ryan
;
Reda Sherief
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Thermal characterization;
compressive sensing;
k-LSE;
sensors allocation;
spectral methods;
56.
Hardware that produces bounded rather than exact results
机译:
产生有限结果而不是确切结果的硬件
作者:
Breuer Melvin
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
CMOS;
Performance degradation;
computational fabrics;
error-rate;
error-tolerance;
57.
Impact of process variations on emerging memristor
机译:
工艺变化对新兴忆阻器的影响
作者:
Niu Dimin
;
Chen Yiran
;
Xu Cong
;
Xie Yuan
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Memristor;
nonvolatile memory;
process variation;
58.
Reconfigurable multi-function logic based on graphene p-n junctions
机译:
基于石墨烯p-n结的可重构多功能逻辑
作者:
Tanachutiwat Sansiri
;
Lee Ji Ung
;
Wang Wei
;
Sung Chun Yung
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Device;
Graphene;
Logic Gate;
Reconfigurable Logic;
p-n Junction;
59.
Carbon nanotube correlation: Promising opportunity for CNFET circuit yield enhancement
机译:
碳纳米管相关性:提高CNFET电路良率的潜在机会
作者:
Zhang Jie
;
Bobba Shashikanth
;
Patil Nishant
;
Lin Albert
;
Wong H.-S. Philip
;
De Micheli Giovanni
;
Mitra Subhasish
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
CNT;
CNT Correlation;
Carbon Nanotube;
Yield Optimization;
60.
Design and analysis of compact ultra Energy-Efficient logic gates using laterally-actuated double-electrode NEMS
机译:
使用侧向驱动双电极NEMS的紧凑型超节能逻辑门的设计和分析
作者:
Dadgour Hamed F.
;
Hussain Muhammad M.
;
Smith Casey
;
Banerjee Kaustav
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Energy-Efficient Electronics;
Laterally-Actuated NEMS;
Logic Design;
Nano-Electro-Mechanical Switches;
Process Variation;
Steep-Subthreshold Switch;
61.
Consistent runtime thermal prediction and control through workload phase detection
机译:
通过工作负载阶段检测实现一致的运行时热预测和控制
作者:
Cochran Ryan
;
Reda Sherief
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
DVFS;
multicore systems;
proactive control;
thermal prediction;
thermal sensing;
workload phase;
62.
Toward efficient large-scale performance modeling of integrated circuits via multi-mode/multi-corner sparse regression
机译:
通过多模/多角稀疏回归实现高效的集成电路大规模性能建模
作者:
Zhang Wangyang
;
Chen Tsung-Hao
;
Ting Ming-Yuan
;
Li Xin
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Performance Modeling;
Process Variations;
63.
Behavior-level yield enhancement approach for large-scaled analog circuits
机译:
大规模模拟电路的行为级良率提高方法
作者:
Kuo Chin-Cheng
;
Chen Yen-Lung
;
Tsai I-Ching
;
Chan Li-Yu
;
Liu Chien-Nan Jimmy
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Analog Circuits;
Process Variation;
Yield Enhancement;
64.
Bridging pre-silicon verification and post-silicon validation
机译:
桥接硅前验证和硅后验证
作者:
Nahir Amir
;
Ziv Avi
;
Abramovici Miron
;
Camilleri Albert
;
Galivanche Rajesh
;
Bentley Bob
;
Foster Harry
;
Hu Alan
;
Bertacco Valeria
;
Kapoor Shakti
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Post-Silicon;
Validation. Pre-Silicon;
Verification;
65.
Eyecharts: Constructive benchmarking of gate sizing heuristics
机译:
视线图:门大小启发式的建设性基准测试
作者:
Gupta Puneet
;
Kahng Andrew B.
;
Kasibhatla Amarnath
;
Sharma Puneet
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Gate sizing;
benchmarking;
dynamic programming;
66.
Detecting tangled logic structures in VLSI netlists
机译:
在VLSI网表中检测纠结的逻辑结构
作者:
Jindal Tanuj
;
Alpert Charles J.
;
Jiang Hut Zhuo Li
;
Nam Gi Joon
;
Winn Charles B.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Clustering;
Congestion Prediction;
Rent Rule;
Tangled Logic;
67.
A novel optimal single constant multiplication algorithm
机译:
一种新颖的最优单常数乘法算法
作者:
Thong Jason
;
Nicolici Nicola
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Single constant multiplication;
common subexpression elimination;
directed acyclic graphs;
optimal algorithm;
68.
The aethereal network on chip after ten years: Goals, evolution, lessons, and future
机译:
十年后的片上以太网网络:目标,演变,经验教训和未来
作者:
Goossens Kees
;
Hansson Andreas
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Network on chip;
circuit switching;
rate control;
69.
The evolution of SOC interconnect and How NOC Fits Within It
机译:
SOC互连的发展以及NOC如何融入其中
作者:
Mathewson Bruce
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
AMBA AXI;
Network on chip;
70.
Lattice-based computation of boolean functions
机译:
基于格的布尔函数计算
作者:
Altun Mustafa
;
Riedel Marc D.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Boolean Functions;
Lattice Duality;
Lattices;
Switching Circuits;
71.
Education panel: Designing the always connected car of the future
机译:
教育小组:设计未来始终互联的汽车
作者:
Ghosal Arkadeb
;
Giusto Paolo
;
Sangiovanni-Vincentelli Alberto
;
DAmbrosio Joseph
;
Nuckolls Ed
;
Wilhelm Harald
;
Tung Jim
;
Kuhl Markus
;
van Staa Peter
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Automotive;
Connectivity;
Design;
Driver Safety and Comfort;
Synthesis;
Vehicle-to-Infrastructure;
Vehicle-to-vehicle;
72.
Find your flow: Increasing flow experience by designing “human” embedded systems
机译:
找到您的流程:通过设计“人类”嵌入式系统来增加流程体验
作者:
Chou Chen-Ling
;
Miron Anca M.
;
Marculescu Radu
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Embedded Systems;
Flow experience;
User satisfaction;
73.
Real time emulations: Foundation and applications
机译:
实时仿真:基础和应用
作者:
Mirhoseini Azalia
;
Alkabani Yousra
;
Koushanfar Farinaz
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Real-time emultion;
Thermal modeling;
74.
3-D stacked die: Now or future?
机译:
3-D叠层模具:现在还是将来?
作者:
Bansal Samta
;
Rey Juan C.
;
Yang Andrew
;
Jang Myung-Soo
;
Lu Lc
;
Magarshack Philippe
;
Pol Marchal
;
Radojcic Riko
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
3-D;
integrated circuits;
75.
Networks on Chips: From research to products
机译:
芯片网络:从研究到产品
作者:
De Micheli G.
;
Seiculescu C.
;
Murali S.
;
Benini L.
;
Angiolini F.
;
Pullini A.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Network on Chip;
NoC;
SoC;
System on Chip;
76.
Electronic design automation for social networks
机译:
社交网络的电子设计自动化
作者:
DeOrio Andrew
;
Bertacco Valeria
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
EDA Algorithms;
Social Networks;
Verification;
77.
Network on chip design and optimization using specialized influence models
机译:
使用专门的影响模型进行片上网络设计和优化
作者:
Ababei Cristinel
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Influence model;
Network on Chip;
VFI design style;
78.
Circuit modeling for practical many-core architecture design exploration
机译:
实用多核架构设计探索的电路建模
作者:
Truong Dean N.
;
Baas Bevan M.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
control;
dynamic frequency and voltage scaling;
many-core;
79.
Detachable nano-carbon chip with ultra low power
机译:
具有超低功耗的可拆卸纳米碳芯片
作者:
Fujita Shinobu
;
Yasuda Shinichi
;
Lee Dae Sung
;
Chen Xiangyu
;
Akinwande Deji
;
Wong H.-S. Philip
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
3D-IC;
NEMS;
ultra-low power;
80.
History-based VLSI legalization using network flow
机译:
使用网络流基于历史的VLSI合法化
作者:
Cho Minsik
;
Ren Haoxing
;
Xiang Hua
;
Puri Ruchir
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Legalization;
Network Flow;
Placement;
VLSI;
81.
Hierarchical hybrid power supply networks
机译:
分层混合电源网络
作者:
Koushanfar Farinaz
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Power management;
hybrid power supply;
supercapacitors;
82.
Efficient simulation of oscillatory combinational loops
机译:
振荡组合回路的高效仿真
作者:
Fayyazi Morteza
;
Kirsch Laurent
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Emulation;
functional verification;
oscillatory combinational loops;
83.
Transistor sizing of custom high-performance digital circuits with parametric yield considerations
机译:
考虑参数成品率的定制高性能数字电路的晶体管尺寸
作者:
Beece Daniel K.
;
Xiong Jinjun
;
Visweswariah Chandu
;
Zolotov Vladimir
;
Liu Yifang
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Custom Circuits;
Optimization;
84.
RDE-based transistor-level gate simulation for statistical static timing analysis
机译:
基于RDE的晶体管级栅极仿真,用于统计静态时序分析
作者:
Tang Qin
;
Zjajo Amir
;
Berkelaar Michel
;
van der Meijs Nick
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
non-Monte Carlo;
statistical static timing analysis;
transistor-level modeling;
85.
Efficient smart monte carlo based SSTA on graphics processing units with improved resource utilization
机译:
在图形处理单元上基于智能蒙特卡洛的高效SSTA,具有更高的资源利用率
作者:
Veetil Vineeth
;
Chang Yung-Hsu
;
Sylvester Dennis
;
Blaauw David
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Graphics Processing Units;
Monte Carlo;
Statistical timing;
86.
Static timing analysis for flexible TFT circuits
机译:
柔性TFT电路的静态时序分析
作者:
Hsu Chao-Hsuan
;
Liu Chester
;
Ma En-Hua
;
Li James Chien-Mo
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Flexible Electronics;
Static Timing Analysis;
87.
TSV stress aware timing analysis with applications to 3D-IC layout optimization
机译:
TSV压力感知时序分析及其在3D-IC布局优化中的应用
作者:
Yang Jae-Seok
;
Athikulwongse Krit
;
Lee Young-Joon
;
Lim Sung Kyu
;
Pan David Z.
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
3DIC;
TSV;
mobility variation;
stress;
timing analysis;
88.
A system for online power prediction in virtualized environments using gaussian mixture models
机译:
使用高斯混合模型在虚拟环境中进行在线功率预测的系统
作者:
Dhiman Gaurav
;
Mihic Kresimir
;
Rosing Tajana
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Gaussian Mixture Models;
Power;
Regression;
Virtualization;
Workload Characterization;
89.
Performance and power modeling in a multi-programmed multi-core environment
机译:
多程序多核环境中的性能和功耗建模
作者:
Chen Xi
;
Xu Chi
;
Dick Robert P.
;
Mao Zhuoqing Morley
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
assignment;
performance modeling;
power modeling;
90.
Reliability aware power management for dual-processor real-time embedded systems
机译:
双处理器实时嵌入式系统的可靠性意识电源管理
作者:
Sridharan Ranjani
;
Mahapatra Rabi
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Dynamic Fault-Tolerance;
Dynamic Power Management;
91.
Recovery-driven design: A power minimization methodology for error-tolerant processor modules
机译:
恢复驱动的设计:容错处理器模块的功耗最小化方法
作者:
Kahng Andrew B.
;
Kang Seokhyeong
;
Kumar Rakesh
;
Sartori John
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Power Minimization;
Recovery-Driven Design;
92.
Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation
机译:
带有片上电压调节功能的输电网络的权衡分析和优化
作者:
Zeng Zhiyu
;
Ye Xiaoji
;
Feng Zhuo
;
Li Peng
会议名称:
《47th ACM/IEEE Design Automation Conference》
|
2010年
关键词:
Power delivery network;
low-dropout regulator;
on-chip voltage regulation;
power efficiency;
意见反馈
回到顶部
回到首页