掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Asia and South Pacific Design Automation Conference
Asia and South Pacific Design Automation Conference
召开年:
2001
召开地:
Yokohama(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
LEneS
机译:
懒惰的
作者:
Flavius Gruian
;
Krzysztof Kuchcinski
;
PFlavius Gruian
;
PKrzysztof Kuchcinski
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
2.
Trace-driven system-level power evaluation of system-on-a-chip peripheral cores
机译:
追踪系统芯片外围核心的追踪系统级功率评估
作者:
Tony D. Givargis
;
Frank Vahid
;
Jorg Henkel
;
PFrank Vahid
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
关键词:
system-on-a-chip;
3.
Synthesis of single-output space compactors with application to scan-based IP cores
机译:
用应用于扫描的IP核心的单输出空间压实器的合成
作者:
Bhargab B. Bhattacharya
;
Alexej Dmitriev
;
Michael Gossel
;
Krishendu Chakrabarty
;
PBhargab B. Bhattacharya
;
PMichael Gossel
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
4.
An RTL design-space exploration method for high-level applications
机译:
高级应用的RTL设计空间探索方法
作者:
Peng-Cheng Kao
;
Chih-Kuang Hsieh
;
Allen C.-H. Wu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
5.
Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling
机译:
具有可参数化3D建模的超深亚微米互连寄生菌的精确提取
作者:
Martin R. Frerichs
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
6.
Coarse grain reconfigurable architecture (embedded tutorial)
机译:
粗晶可重新配置架构(嵌入式教程)
作者:
Reiner Hartenstein
;
PReiner Hartenstein
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
7.
A novel network node architecture for high performance and function flexibility
机译:
一种用于高性能和功能灵活性的新型网络节点架构
作者:
Takahiro Murooka
;
Atsushi Takahara
;
Toshiaki Miyazaki
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
8.
Accurate exploration of timing and area trade-offs in arithmetic optimization using carry-save-adders
机译:
使用随身储存加法器准确探索算术优化中的时序和区域权衡
作者:
Youngtae Kim
;
Taewhan Kim
;
PYoungtae Kim
;
PTaewhan Kim
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
9.
Design for testability strategies using full/partial scan designs and test point insertions to reduce test application times
机译:
使用完整/部分扫描设计和测试点插入的可测试性策略设计,以减少测试应用时间
作者:
Toshinori Hosokawa
;
Masayoshi Yoshimura
;
Mitsuyasu Ohta
;
PToshinori Hosokawa
;
PMitsuyasu Ohta
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
10.
A higher level system communication model for object-oriented specification and design of embedded systems
机译:
嵌入式系统面向对象规范和设计的更高级别系统通信模型
作者:
Kjetil Svarstad
;
Nezih Ben-Fredj
;
Gabriela Nicolescu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
11.
A higher level system communication model for object-oriented specification and design of embedded systems
机译:
嵌入式系统面向对象规范和设计的更高级别系统通信模型
作者:
Kjetil Svarstad
;
Nezih Ben-Fredj
;
Gabriela Nicolescu
;
Ahmed A. Jerraya
;
PGabriela Nicolescu
;
PAhmed A. Jerraya
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2001年
12.
Don't cares in logic minimization of extended finite state machines
机译:
不要关心扩展有限状态机的逻辑最小化
作者:
Yunjian Jiang
;
Robert K. Brayton
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
13.
Optimality and scalability study of existing placement algorithms
机译:
现有放置算法的最优性和可扩展性研究
作者:
Chin-Chih Chang
;
Jason Cong
;
Min Xie
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
14.
Data partitioning for maximal scratchpad usage
机译:
用于最大刻痕的数据分区
作者:
Manish Verma
;
Stefan Steinke
;
Peter Marwedel
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
15.
Gate-level simulation of quantum circuits
机译:
量子电路的门级仿真
作者:
George F. Viamontes
;
Manoj Rajagopalan
;
Igor L. Markov
;
John P. Hayes
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
16.
Multi-parametric improvements for embedded systems using code-placement and address bus coding
机译:
使用代码放置和地址总线编码的嵌入式系统的多参数改进
作者:
Sri Parameswaran
;
Jorg Henkel
;
Haris Lekastas
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
17.
IBM's 50 million gate ASICs
机译:
IBM的5000万门ASIC
作者:
Juergen Koehl
;
David E. Lackey
;
George Doerre
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
18.
Accelerating design space exploration using pareto-front arithmetics
机译:
使用帕累托 - 前算法加速设计空间探索
作者:
Christian Haubelt
;
Jurgen Teich
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
19.
Improving boundary element methods for parasitic extraction
机译:
改善寄生提取的边界元方法
作者:
Shu Yan
;
Jianguo Liu
;
Weiping Shi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
20.
Synthesis for SoC architecture using VCores
机译:
使用vcores的SoC架构综合
作者:
Hiroaki Nishi
;
Michiaki Muraoka
;
Rafael K. Morizawa
;
Hideaki Yokota
;
Hideyuki Hamada
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
21.
Selected sequence-pair: an efficient decodable packing representation in linear time using sequence-pair
机译:
选择的序列对:使用序列对的线性时间中的有效可解码包装表示
作者:
Chikaaki Kodama
;
Kunihiro Fujiyoshi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
22.
Energy-aware mapping for tile-based NoC architectures under performance constraints
机译:
在性能约束下的基于瓷砖的NoC架构的能量感知映射
作者:
Jingcao Hu
;
Eadu Marculescu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
23.
Optimal reconfiguration sequence management
机译:
最佳重新配置序列管理
作者:
Soheil Ghiasi
;
Majid Sarrafzadeh
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
24.
Highly scalable algorithms for rectilinear and octilinear Steiner trees
机译:
直线和八轴静脉树的高度可扩展算法
作者:
Andrew B. Kahng
;
Ion I. Mandoiu
;
Alexander Z. Zelikovsky
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
25.
Nonlinear distortion analysis via linear-centric models
机译:
通过以线性为中心的型号的非线性失真分析
作者:
Peng Li
;
Lawrence T. Pileggi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
26.
Scan-chain based watch-points for efficient run-time debugging and verification of FPGA designs
机译:
基于扫描链的观察点,用于高效运行时调试和FPGA设计的验证
作者:
Anurag Tiwari
;
Karen A. Tomko
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
27.
Optimal reconfiguration sequence management FPGA runtime reconfiguration
机译:
最佳重新配置序列管理FPGA运行时重新配置
作者:
Ghiasi S.
;
Sarrafzadeh M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
关键词:
reconfigurable architectures;
cont;
runtime reconfiguration minimization;
optimal reconfiguration sequence management;
partial reconfiguration;
reconfigurable hardware;
minimisation;
circuit optimisation;
logic design;
field programmable gate arrays;
28.
The Y-architecture: yet another on-chip interconnect solution
机译:
Y架构:另一个片上互连解决方案
作者:
Hongyu Chen
;
Bo Yao
;
Feng Zhou
;
Chung-Kuan Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
29.
Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based RSF
机译:
准确地预测芯片上电感对互连延迟的影响使用电气和物理参数的RSF
作者:
Takashi Sato
;
Toshiki Kanamoto
;
Atsushi Kurokawa
;
Yoshiyuki Kawakami
;
Hiroki Oka
;
Tomoyasu Kitaura
;
Hiroyuki Kobayashi
;
Masanori Hashimoto
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
30.
Typing abstractions and management in a component framework
机译:
在组件框架中键入抽象和管理
作者:
Frederic J. Doucet
;
Sandeep K. Shukla
;
Rajesh K. Gupta
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
31.
Towards on-chip fault-tolerant communication
机译:
朝上片上容错通信
作者:
Tudor Dumitras
;
Sam Kerner
;
Radu Marculescu
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
32.
Logic foundry: rapid prototyping of FPGA-based DSP systems
机译:
Logic Foundry:基于FPGA的DSP系统的快速原型设计
作者:
Gary Spivey
;
Shuvra S. Bhattacharyya
;
Kazuo Nakajima
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
33.
Design flow and methodology for 50M gate ASIC
机译:
50M门ASIC的设计流程和方法
作者:
Alok Mehrotra
;
Lukas van Ginneken
;
Yatin Trivedi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
34.
Recent developments in ESD protection for RF ICs
机译:
RF ICS的ESD保护最新发展
作者:
Albert Wang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
35.
RCLK-VJ network reduction with Hurwitz polynomial approximation
机译:
RCLK-VJ网络减少了Hurwitz多项式近似
作者:
Zhanhai Qin
;
Chung-Kuan Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2003年
36.
High-level synthesis for DSP applications using heterogeneous functional units
机译:
使用异质功能单元的DSP应用的高级合成
作者:
Zili Shao
;
Qingfeng Zhuge
;
Chun Xue
;
Bin Xiao
;
Sha E.H.-M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2005年
关键词:
digital signal processing chips;
high level synthesis;
integrated circuit design;
scheduling;
high-level synthesis;
heterogeneous functional units;
digital signal processing architectures;
timing constraint;
minimum resource scheduling algorithm;
37.
Optimal TDMA time slot and cycle length allocation for hard real-time systems
机译:
硬实时系统最佳TDMA时隙和循环长度分配
作者:
Wandeler E.
;
Thiele L.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
embedded systems;
resource allocation;
time division multiple access;
timing;
arbitrary deterministic timing;
computational efficiency;
cycle length allocation;
embedded systems;
hard real-time system;
optimal TDMA time slot;
38.
Transition-based coverage estimation for symbolic model checking
机译:
基于转换的象征模型检查的覆盖估计
作者:
Xingwen Xu
;
Kimura S.
;
Horikawa K.
;
Tsuchiya T.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
cache storage;
formal specification;
program debugging;
protocols;
ACTL subset;
cache coherence protocol;
formal specification;
property completeness;
symbolic model checking;
transition coverage computing;
transition-based coverage estimation;
transition-based cov;
39.
Reducing dynamic compilation overhead by overlapping compilation and execution
机译:
通过重叠编译和执行来减少动态编译开销
作者:
Unnikrishnan P.
;
Kandemir M.
;
Li E.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
codes;
dynamic programming;
embedded systems;
performance evaluation;
program compilers;
dynamic compilation;
energy-sensitive embedded environment;
performance overhead reduction;
40.
Switching-activity driven gate sizing and Vth assignment for low power design
机译:
低功耗设计的开关活动驱动栅极尺寸和VTH分配
作者:
Yu-Hui Huang
;
Po-Yuan Chen
;
TingTing Hwang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
logic design;
logic gates;
low-power electronics;
minimisation;
circuit design;
dynamic power;
gate sizing;
leakage power;
low power design;
optimization algorithm;
performance improvement;
power consumption;
switching activity;
threshold-voltage assignment;
timing co;
41.
A dynamic test compaction procedure for high-quality path delay testing
机译:
高质量路径延迟测试的动态测试压缩过程
作者:
Fukunaga M.
;
Kajihara S.
;
Xiaoqing Wen
;
Maeda T.
;
Hamada S.
;
Sato Y.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
automatic test pattern generation;
delays;
fault diagnosis;
logic testing;
compact test set;
dynamic test compaction;
high-quality test patterns;
path delay faults;
path delay testing;
path selection;
test cost reduction;
42.
Programmable numerical function generators based on quadratic approximation: architecture and synthesis method
机译:
基于二次逼近的可编程数值函数发生器:架构和合成方法
作者:
Nasayama S.
;
Sasao T.
;
Butler J.T.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
field programmable gate arrays;
function generators;
table lookup;
FPGA;
LUT cascade;
automatic synthesis;
linear approximation;
logarithmic function;
nonuniform segmentation;
programmable numerical function generators;
quadratic approximation;
reciprocal functions;
43.
SAT-based optimal hypergraph partitioning with replication
机译:
基于SAT的最优超图分区复制
作者:
Wrighton M.G.
;
DeHon A.M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
Boolean functions;
computability;
directed graphs;
integrated circuit design;
logic partitioning;
Boolean satisfiability;
directed hypergraphs;
logic bipartitioning;
optimal hypergraph partitioning;
optimal k-way partitioning;
44.
Analysis of scratch-pad and data-cache performance using statistical methods
机译:
使用统计方法分析刮刀和数据缓存性能
作者:
Javed Absar
;
Catthoor F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
cache storage;
statistical analysis;
access probabilities;
data access patterns;
data-cache performance;
scratch-pad performance;
statistical methods;
45.
PowerViP: SoC power estimation framework at transaction level
机译:
PowerVIP:交易级别的SoC功率估计框架
作者:
Ikhwan Lee
;
Hyunsuk Kim
;
Peng Yang
;
Sungjoo Yoo
;
Eui-Young Chung
;
Kyu-Myung Choi
;
Jeong-Taek Kong
;
Soo-Kwan Eo
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit simulation;
integrated circuit design;
integrated circuit modelling;
system-on-chip;
IP blocks;
PowerViP framework;
SoC power estimation;
power characteristics;
system-level power profile;
system-level simulation;
46.
Refinement strategies for verification methods based on datapath abstraction
机译:
基于DataPath抽象的验证方法的改进策略
作者:
Andraus Z.S.
;
Liffiton M.H.
;
Sakallah K.A.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
computability;
electronic engineering computing;
formal verification;
logic testing;
microprocessor chips;
CEGAR;
MUS extraction;
automatic datapath abstraction;
automatic refinement;
counter-example-guided abstraction refinement;
microprocessor correspondence che;
47.
Lazy BTB: reduce BTB energy consumption using dynamic profiling
机译:
懒惰的BTB:使用动态分析降低BTB能耗
作者:
Yen-Jen Chang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
cache storage;
content-addressable storage;
dynamic programming;
network synthesis;
performance evaluation;
table lookup;
MediaBench application;
dynamic profiling;
energy consumption;
filtering;
lazy BTB;
performance degradation;
redundant lookup;
trace information;
48.
Signal-path driven partition and placement for analog circuit
机译:
信号路径驱动分区和模拟电路的放置
作者:
Di Long
;
Xianlong Hong
;
Sheqin Dong
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
analogue integrated circuits;
integrated circuit layout;
analog integrated circuit;
analog placement;
bias-circuit;
circuit partition;
core-circuit;
device-level placement;
placement pattern;
signal path information;
49.
Delay defect screening for a 2.16 GHz SPARC64 microprocessor
机译:
2.16 GHz SPARC64微处理器的延迟缺陷筛选
作者:
Ito N.
;
Kanuma A.
;
Maruyama D.
;
Yamanaka H.
;
Mochizuki T.
;
Sugawara O.
;
Endoh C.
;
Yanagida M.
;
Kono T.
;
Isoda Y.
;
Adachi K.
;
Hiraide T.
;
Nagasawa S.
;
Sugiyama Y.
;
Ninoi E.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
UHF integrated circuits;
boundary scan testing;
delays;
logic testing;
microprocessor chips;
2.16 GHz;
4 Mbit;
SPARC64 microprocessor;
delay defect screening;
delay test;
multiple transition faults;
scan-based design;
stuck-at fault test;
50.
Memory optimal single appearance schedule with dynamic loop count for synchronous dataflow graphs
机译:
内存最佳单个外观计划,具有同步数据流图的动态循环计数
作者:
Hyunok Oh
;
Dutt N.
;
Soonhoi Ha
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
data flow graphs;
processor scheduling;
storage management;
code memory;
dynamic decision;
dynamic loop count;
loop counts;
memory optimal single appearance schedule;
minimum data memory;
schedule code;
schedule quasi-static;
synchronous dataflow graph;
51.
Discovering the input assumptions in specification refinement coverage
机译:
发现规范改进覆盖范围内的输入假设
作者:
Basu P.
;
Das S.
;
Dasgupta P.
;
Chakrabarti P.P.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit CAD;
circuit simulation;
formal specification;
formal verification;
integrated circuit design;
formal property verification technology;
formal specification;
specification refinement coverage;
52.
Memory size computation for multimedia processing applications
机译:
多媒体处理应用程序的内存大小计算
作者:
Hongwei Zhu
;
Luican I.I.
;
Balasa F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
algebra;
data flow analysis;
digital storage;
memory architecture;
multimedia computing;
algebraic techniques;
data-flow analysis;
memory size;
multimedia processing;
real-time multimedia algorithms;
scalar signals;
53.
Statistical corner conditions of interconnect delay (corner LPE specifications)
机译:
互连延迟的统计拐角条件(拐角LPE规格)
作者:
Yamada K.
;
Oda N.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
integrated circuit interconnections;
integrated circuit layout;
statistical analysis;
LPE specifications;
interconnect delay;
interconnect layers;
statistical corner conditions;
54.
Cache size selection for performance, energy and reliability of time-constrained systems
机译:
缓存大小选择,用于时间约束系统的性能,能量和可靠性
作者:
Yuan Cai
;
Schmitz M.T.
;
Ejlali A.
;
Al-Hashimi B.M.
;
Reddy S.M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
cache storage;
circuit reliability;
circuit simulation;
cache size selection;
cycle-accurate processor simulator;
fault injection;
time-constrained system;
55.
Equivalent circuit modeling of guard ring structures for evaluation of substrate crosstalk isolation
机译:
保护环形结构的等效电路建模,用于评价底串隔离
作者:
Kosaka D.
;
Nagata M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
CMOS integrated circuits;
circuit simulation;
equivalent circuits;
integrated circuit modelling;
isolation technology;
matrix algebra;
F-matrix computation;
circuit simulation;
deep-submicron CMOS technology;
equivalent circuit model;
guard ring effects;
guard ring;
56.
Monotonic parallel and orthogonal routing for single-layer ball grid array packages
机译:
单层球网格阵列套件单调平行和正交路由
作者:
Yoichi Tomioka
;
Atsushi Takahashi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
ball grid arrays;
network routing;
monotonic parallel routing;
orthogonal routing;
parallel boundary;
single-layer ball grid array package;
57.
Timing-driven placement based on monotone cell ordering constraints
机译:
基于单调电池排序约束的时序驱动的放置
作者:
Chanseok Hwang
;
Pedram M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit CAD;
integrated circuit design;
timing;
benchmark circuits;
circuit timing-critical paths;
industrial placement tool;
input-output conduits;
min-cut objective function;
monotone cell ordering constraints;
move-based optimization strategy;
recursive biparti;
58.
Fast simulation of large networks of nanotechnological and biochemical oscillators for investigating self-organization phenomena
机译:
用于调查自组织现象的纳米技术和生化振荡器大型网络的快速模拟
作者:
Xiaolue Lai
;
Roychowdhury J.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
biochemistry;
circuit simulation;
nanotechnology;
oscillators;
Brusselator biochemical oscillators;
Kuramoto model;
coupled oscillators;
differential-equation oscillator;
electrical oscillators;
nanotechnological oscillators;
nonlinear oscillator macromodel;
patter;
59.
Functional modeling techniques for efficient SW code generation of video codec applications
机译:
视频编解码器应用的高效SW代码生成功能建模技术
作者:
Sang-Il Han
;
Soo-Ik Chac
;
Jcrraya A.A.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
video codecs;
ACSM;
H.264 baseline decoder;
SW code generation;
abstract clock synchronous model;
functional modeling;
single core architecture;
video codec application;
60.
Low area pipelined circuits by multi-clock cycle paths and clock scheduling
机译:
低区域流水线电路通过多时钟周期路径和时钟调度
作者:
Rosdi B.A.
;
Takahashi A.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
adders;
clocks;
delays;
multiplying circuits;
pipeline processing;
scheduling;
shift registers;
clock scheduling;
delay variations;
intermediate registers;
low area pipelined circuits;
multiclock cycle paths;
pipelined adder;
pipelined multiplier;
61.
Customized SIMD unit synthesis for system on programmable chip - a foundation for HW/SW partitioning with vectorization
机译:
可编程芯片系统的自定义SIMD单元合成 - 一种与矢量化HW / SW分区的基础
作者:
Cheema M.O.
;
Hammami O.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
hardware-software codesign;
instruction sets;
parallel processing;
programmable circuits;
system-on-chip;
HW-SW codesign;
HW-SW partitioning;
SIMD unit synthesis;
extended instruction set;
single instruction multiple data system;
system on programmable chip;
62.
Yield-area optimizations of digital circuits using non-dominated sorting genetic algorithm (YOGA)
机译:
使用非主导分类遗传算法(瑜伽)的数字电路的产量区优化
作者:
Agarwal V.
;
Wang J.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
Pareto optimisation;
circuit optimisation;
digital integrated circuits;
genetic algorithms;
integrated circuit yield;
NSGA;
Pareto optimisation;
YOGA;
digital integrated circuits;
gate sizing;
multi-objective optimization techniques;
nondominated sorting genetic al;
63.
Image segmentation and pattern matching based FPGA/ASIC implementation architecture of real-time object tracking
机译:
基于图像分割和模式匹配的实时对象跟踪的FPGA / ASIC实现架构
作者:
Yamaoka K.
;
Morimoto T.
;
Adachi H.
;
Koide T.
;
Mattausch H.J.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
application specific integrated circuits;
field programmable gate arrays;
image segmentation;
pattern matching;
video cameras;
ASIC implementation architecture;
FPGA implementation architecture;
image segmentation;
moving camera;
pattern matching;
real-time object;
64.
Closed form solution for optimal buffer sizing using the Weierstrass elliptic function
机译:
使用Weierstrass椭圆函数的最佳缓冲区尺寸的封闭式溶液
作者:
Vogel S.
;
Wong M.D.F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
buffer circuits;
circuit optimisation;
delay circuits;
integrated circuit design;
integrated circuit interconnections;
iterative methods;
Elmore delay minimization;
Weierstrass elliptic function;
closed form buffer sizing;
interconnection wires;
iterative algorith;
65.
POSIX modeling in SystemC
机译:
POSIX建模在Systemc中
作者:
Posadas H.
;
Adamez J.
;
Sanchez P.
;
Villar E.
;
Blasco F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
Unix;
embedded systems;
hardware-software codesign;
software libraries;
source coding;
virtual machines;
HW-SW co-simulation;
HW/SW embedded system design;
Multiprocessing system;
POSIX modeling;
RTOS modeling;
SystemC library;
SystemC specification;
architectural ma;
66.
Spec-based flip-flop and latch repeater planning
机译:
基于规格的触发器和闩锁中继器规划
作者:
Hon M.C.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
flip-flops;
integrated circuit design;
integrated circuit interconnections;
logic design;
timing;
frequency scaling;
latch repeater planning;
multiple clock cycles;
shrinking process geometry;
spec-based flip-flop;
time algorithm;
two-phases latches;
67.
Efficient identification of multicycle false path
机译:
高效识别多控虚假路径
作者:
Kai Yang
;
Kwang-Ting Cheng
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
clocks;
delays;
network topology;
timing;
clock frequency;
clock period;
multicycle false path;
multicycle false paths;
multicycle sensitizable paths;
single-cycle false path;
timing analysis problem;
topological delay;
68.
Reusable component IP design using refinement-based design environment
机译:
使用基于细化的设计环境可重用的组件IP设计
作者:
Sanggyu Park
;
Sangyong Yoon
;
Soo-Ik Chae
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
integrated circuit design;
H.264 decoder;
IP design;
communication architecture template tree;
refinement-based design;
reusable component;
69.
Speed binning aware design methodology to improve profit under parameter variations
机译:
速度融合意识的设计方法,以提高参数变化下的利润
作者:
Animesh Datta
;
Bhunia S.
;
Jung Hwan Choi
;
Mukhopadhyay S.
;
Roy K.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
design of experiments;
integrated circuit design;
integrated circuit yield;
profitability;
ISCAS85 benchmarks;
bin placement;
frequency binning;
gate sizing algorithm;
optimal bin boundaries;
product price profile;
profit-aware yield model;
speed binning aware desi;
70.
Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage
机译:
统计泄漏通过接合选择栅极尺寸,栅极长度和阈值电压最小化
作者:
Bhardwaj S.
;
Yu Cao
;
Vrudhula S.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit optimisation;
circuit testing;
digital circuits;
circuit leakage;
digital circuit;
gate length;
gate size;
joint selection;
multivariable convex optimization;
physical delay model;
statistical leakage minimization;
threshold voltage;
71.
A real-time and bandwidth guaranteed arbitration algorithm for SoC bus communication
机译:
SoC总线通信的实时和带宽保障仲裁算法
作者:
Chien-Hua Chen
;
Geeng-Wei Lee
;
Juinn-Dar Huang
;
Jing-Yang Jou
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
bandwidth allocation;
real-time systems;
system buses;
system-on-chip;
RT/spl I.bar/lottery;
SoC bus communication;
bandwidth allocation;
bandwidth guaranteed arbitration;
bus contentions;
configurable arbiter;
high abstract-level models;
system simulation;
72.
An O(mn) time algorithm for optimal buffer insertion of nets with m sinks
机译:
用于最佳缓冲区的O(MN)时间算法与M水槽的最佳缓冲区插入网
作者:
Zhuo Li
;
Weiping Shi
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
buffer circuits;
integrated circuit interconnections;
interconnect delay reduction;
linear time buffer insertion;
linked list data structure;
optimal buffer insertion;
73.
Key features of the design methodology enabling a multi-core SoC implementation of a first-generation CELL processor
机译:
设计方法的主要特征,使得第一代单元处理器的多核SOC实现实现
作者:
Pham D.
;
Anderson H.W.
;
Behnen E.
;
Bolliger M.
;
Gupta S.
;
Hofstee P.
;
Harvey P.
;
Johns C.
;
Kahle J.
;
Kameyama A.
;
Keaty J.
;
Le B.
;
Sang Lee
;
Tuyen Nguyen
;
Petrovick J.
;
Mydung Pham Pille J.
;
Posluszny S.
;
Riley M.
;
Verock J.
;
Warnock J.
;
Weitzel S.
;
Wendel
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit simulation;
copper;
knowledge based systems;
microprocessor chips;
silicon;
silicon-on-insulator;
system-on-chip;
4 GHz;
90 nm;
Cu;
Giga-scale system integration;
SOI technology;
Si;
constraints propagation;
copper interconnect;
first-generation CELL processor;
74.
Double edge triggered feedback flip-flop in sub 100nm technology
机译:
双边缘触发的反馈触发器在亚100nm技术中
作者:
Rasouli S.H.
;
Amirabadi A.
;
Seyedi A.
;
Afzali-Kusha A.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit feedback;
flip-flops;
nanoelectronics;
trigger circuits;
double edge triggered feedback flip-flop;
dynamic power consumption reduction;
internal node transition;
low subthreshold current;
static leakage power;
75.
Hardware architecture design of an H.264/AVC video codec
机译:
H.264 / AVC视频编解码器的硬件架构设计
作者:
Tung-Chien Chen
;
Chung-Jr Lian
;
Liang-Gee Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
code standards;
motion compensation;
motion estimation;
pipeline processing;
video codecs;
video coding;
H.264/AVC video codec;
MB-level pipelining;
bandwidth reduction techniques;
block-level pipelining;
data reused schemes;
frame-level pipelining;
hardware archite;
76.
Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops
机译:
通过电平敏感的锁存和反馈循环进行融合 - 可提供统计时序分析
作者:
Lizheng Zhang
;
Jengliang Tsai
;
Weijen Chen
;
Yuhen Hu
;
Chen C.C.-P.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
flip-flops;
iterative methods;
statistical analysis;
timing circuits;
ISCAS''89 benchmark circuit;
Monte Carlo simulation;
circuit yield;
convergence-provable statistical timing analysis;
feedback loop;
iteration mean;
latch-based circuits;
77.
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
机译:
使用顺序SAT生成高分辨率错误诊断的较短序列
作者:
Sung-Jui Pan
;
Kwang-Ting Cheng
;
Moondanos J.
;
Hanna Z.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
automatic test pattern generation;
computability;
computational complexity;
fault simulation;
logic testing;
error sequences;
high resolution error diagnosis;
public benchmark circuits;
satisfiability problem;
sequential SAT;
transfer sequence;
78.
Energy-aware computation duplication for improving reliability in embedded chip multiprocessors
机译:
用于提高嵌入式芯片多处理器的可靠性的能量感知计算复制
作者:
Chen G.
;
Kandemir M.
;
Li F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
embedded systems;
fault tolerant computing;
low-power electronics;
microprocessor chips;
multiprocessing systems;
program compilers;
compilers;
embedded chip multiprocessor;
embedded systems;
energy-aware computation duplication;
energy-delay-fallibility product;
79.
Adaptive admittance-based conductor meshing for interconnect analysis
机译:
基于自适应导纳的导体网格互连分析
作者:
Ya-Chi Yang
;
Cheng-Kok Koh
;
Balakrishnan V.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
VLSI;
integrated circuit interconnections;
VLSI interconnect;
adaptive admittance-based conductor meshing;
current flow nonuniformity;
frequency-dependent inductance extraction;
interconnect analysis;
interconnect discretization;
80.
Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system
机译:
在硬实时系统上运行的任务的动态调度和动态电压设置
作者:
Peng Rong
;
Pedram M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
integer programming;
processor scheduling;
real-time systems;
dynamic power management;
dynamic voltage setting;
energy consumption;
hard real-time system;
integer programming;
power management scheduling;
power-aware scheduling;
precedence constraint;
system-wide;
81.
FSM-based transaction-level functional coverage for interface compliance verification
机译:
基于FSM的交易级功能覆盖,用于接口合规性验证
作者:
Man-Yun Su
;
Che-Hua Shih
;
Juinn-Dar Huang
;
Jing-Yang Jou
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
computer interfaces;
finite state machines;
formal verification;
regression analysis;
system-on-chip;
FSM;
finite state machines;
functional transaction;
interface compliance verification;
regression verification;
state-oriented language;
target interface protocol;
82.
A new boundary element method for accurate modeling of lossy substrates with arbitrary doping profiles
机译:
一种新的边界元方法,用于准确掺杂型材的损坏基板的建模
作者:
Xiren Wang
;
Wenjian Yu
;
Zeyi Wang
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
boundary-elements methods;
doping profiles;
integrated circuit modelling;
matrix algebra;
substrates;
DBEM;
SoC/mixed-signal circuit designs;
arbitrary doping profiles;
coefficient matrix;
direct boundary element method;
linear system;
lossy substrates;
multiple-fre;
83.
Finding optimal L1 cache configuration for embedded systems
机译:
找到嵌入式系统的最佳L1缓存配置
作者:
Janapsatya A.
;
Ignjatovic A.
;
Parameswaran S.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
cache storage;
embedded systems;
microprocessor chips;
L1 cache miss rate;
embedded systems;
energy model;
execution time model;
optimal L1 cache configuration;
84.
Fast substrate noise-aware floorplanning with preference directed graph for mixed-signal SOCs
机译:
快速基板噪声感知平面图,优先考虑的混合信号SOC的指示图
作者:
Minsik Cho
;
Hongjoong Shin
;
Pan D.Z.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
directed graphs;
integrated circuit layout;
integrated circuit modelling;
integrated circuit noise;
mixed analogue-digital integrated circuits;
substrates;
system-on-chip;
BPDG;
block preference directed graph;
floorplanning evaluation;
layout optimization;
mixed-s;
85.
Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability
机译:
具有增强扫描能力的软堵塞扫描触发器的低开销设计
作者:
Goel A.
;
Bhunia S.
;
Mahmoodi H.
;
Roy K.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
error correction;
error detection;
flip-flops;
integrated circuit design;
logic design;
combinational path testability;
low-overhead design;
low-overhead flip-flops;
scan based delay fault testing;
soft error correction;
soft error detection;
soft-error-tolerant sc;
86.
Introduction to H.264 advanced video coding
机译:
H.264先进的视频编码简介
作者:
Jian-Wen Chen
;
Chao-Yang Kao
;
Youn-Long Lin
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
video coding;
H.264 video coding;
MPEG-4;
block-based hybrid coding;
video codec;
video coding standards;
87.
Constraint-driven bus matrix synthesis for MPSoC
机译:
MPSOC的约束驱动总线矩阵综合
作者:
Pasricha S.
;
Dutt N.
;
Ben-Romdhane M.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
high level synthesis;
microprocessor chips;
multiprocessing systems;
system buses;
system-on-chip;
MPSoC design;
bus matrix communication architecture;
bus matrix synthesis;
bus wiring;
multiprocessor system-on-chip;
wire congestion matrix;
88.
IEEE standard 1500 compatible interconnect diagnosis for delay and crosstalk faults
机译:
IEEE标准1500兼容互连诊断,延迟和串扰故障
作者:
Shu-Min Li K.
;
Yao-Wen Chang
;
Chauchin Su
;
Chung-Len Lee
;
Jwu E Chen
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
crosstalk;
delays;
fault diagnosis;
integrated circuit interconnections;
integrated circuit testing;
system-on-chip;
IEEE standard 1500;
SOC design;
crosstalk faults;
crosstalk glitches;
delay faults;
diagnosis ring generation;
fast diagnosability checking;
fault mod;
89.
A routability constrained scan chain ordering technique for test power reduction
机译:
用于测试功率降低的可路由限制扫描链排序技术
作者:
Huang X.L.
;
Huang Jl.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit testing;
network routing;
network synthesis;
routability constraint;
scan chain ordering;
test power reduction;
90.
Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems
机译:
千兆LSI系统中的数学辅助自适应体偏置(ABB)进行温度补偿
作者:
Kumar S.V.
;
Kim C.H.
;
Sapatnekar S.S.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
circuit CAD;
compensation;
delays;
integrated circuit design;
large scale integration;
leakage currents;
CAD perspective;
adaptive body bias;
gigascale LSI systems;
mathematical models;
nominal operational region;
nonlinear programming problem;
process compensation;
91.
Physical design implementation of segmented buses to reduce communication energy
机译:
分段公交车的物理设计实现,以减少通信能量
作者:
Guo J.
;
Papanikolaou A.
;
Marchal P.
;
Catthoor F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
IP networks;
system buses;
telecommunication network topology;
IP block interconnection;
activity aware floorplanning;
block ordering;
communication energy reduction;
hard macro blocks;
netlist topology;
network energy optimization process;
network wire energy dis;
92.
Improving routing efficiency for network-on-chip through contention-aware input selection
机译:
通过争用感知输入选择提高芯片上网路由效率
作者:
Dong Wu
;
Al-Hashimi B.M.
;
Schmitz M.T.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
integrated circuit layout;
microprocessor chips;
network routing;
network-on-chip;
CAIS technique;
NoC;
contention-aware input selection;
multiple input channels;
network routing;
network-on-chip;
output selection technique;
routing efficiency;
93.
Electrothermal analysis and optimization techniques for nanoscale integrated circuits
机译:
纳米级集成电路电热分析及优化技术
作者:
Yong Zhan
;
Goplen B.
;
Sapatnekar S.S.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
Green's function methods;
finite difference methods;
finite element analysis;
integrated circuit design;
nanoelectronics;
thermal analysis;
Green function based methods;
accuracy-computation tradeoffs;
electrical circuit design;
electrothermal analysis;
electrothe;
94.
A probabilistic analysis of pipelined global interconnect under process variations
机译:
流水线全局互连下的过程变化的概率分析
作者:
Kankani N.
;
Agarwal V.
;
Wang J.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
integrated circuit interconnections;
integrated circuit reliability;
probability;
sampling methods;
DMA;
delay metric;
deterministic sampling approach;
dual phase clocking scheme;
interconnect delay;
performance analysis;
pipelined global interconnect;
probabilisti;
95.
A 476-gate-count dynamic optically reconfigurable gate array VLSI chip in a standard 0.35/spl mu/m CMOS technology
机译:
一个476栅极计数动态光学可重构的栅极阵列VLSI芯片在标准的0.35 / SPL MU / M CMOS技术中
作者:
Watanabe M.
;
Kobayashi F.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
VLSI;
integrated circuit design;
logic arrays;
optical logic;
photodiodes;
reconfigurable architectures;
0.35 micron;
100 MHz;
100 W;
369.6 Gbit/s;
VLSI chip;
dynamic optically reconfigurable gate array;
photodiodes;
three-metal CMOS technology;
96.
Constraint driven I/O planning and placement for chip-package co-design
机译:
约束驱动I / O芯片包共设计的规划和放置
作者:
Jinjun Xiong
;
Yiu-Chung Wong
;
Sarto E.
;
Lei He
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
chip scale packaging;
integer programming;
integrated circuit design;
linear programming;
system-in-package;
system-on-chip;
chip design constraints;
chip-centered I-O designs;
chip-package co-design;
constraint-driven I-O placement;
constraint-driven I-O planning;
97.
Process-induced skew reduction in nominal zero-skew clock trees
机译:
名义零偏斜时钟树的过程引起的偏斜减少
作者:
Guthaus M.R.
;
Sylvester D.
;
Brown R.B.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
clocks;
network analysis;
sampling methods;
trees (mathematics);
Monte Carlo methods;
clock tree analysis;
clock tree capacitance;
process-induced skew reduction;
zero-skew clock trees;
98.
Abridged addressing: a low power memory addressing strategy
机译:
删节解决:低功耗地址策略
作者:
Panda P.R.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
digital storage;
low-power electronics;
storage allocation;
abridged addressing;
address bus switching;
addressing hardware;
addressing logic power;
low power memory addressing strategy;
multi-port memory;
off-chip memory;
on-chip memory;
power overhead;
single-port;
99.
Hardware debugging method based on signal transitions and transactions
机译:
基于信号转换和交易的硬件调试方法
作者:
Ohba N.
;
Takano K.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
computer debugging;
logic analysers;
data capture;
data compression;
hardware debugging;
logic analyzer;
signal transaction;
signal transition;
state transition format;
state-transition diagram format;
100.
SASIMI: sparsity-aware simulation of interconnect-dominated circuits with nonlinear devices
机译:
Sasimi:使用非线性设备互连主导电路的稀疏性仿真
作者:
Jain J.
;
Cauley S.
;
Cheng-Kok Koh
;
Balakrishnan V.
会议名称:
《Asia and South Pacific Design Automation Conference》
|
2006年
关键词:
VLSI;
circuit simulation;
integrated circuit interconnections;
linear algebra;
SASIMI;
SPICE;
VLSI interconnects;
interconnect-dominated circuits;
linear-algebraic techniques;
moderate-size circuits;
nonlinear devices;
sparsity-aware simulation;
意见反馈
回到顶部
回到首页