掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Symposium on VLSI Circuits
Symposium on VLSI Circuits
召开年:
1987
召开地:
Karuizawa(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Wide input range 1.7μW 1.2kS/s resistive sensor interface circuit with 1 cycle/sample logarithmic sub-ranging
机译:
宽输入范围1.7μW1.2KS / S电阻传感器接口电路,具有1个循环/样本对数分流
作者:
Myungjoon Choi
;
Junhua Gu
;
Blaauw David
;
Sylvester Dennis
会议名称:
《Symposium on VLSI Circuits》
|
2015年
2.
Single-chip 4K 60fps 4:2:2 HEVC video encoder LSI with 8K scalability
机译:
单芯片4K 60FPS 4:2:2 HEVC视频编码器LSI,具有8K可扩展性
作者:
Onishi Takayuki
;
Sano Takashi
;
Nishida Yukikuni
;
Yokohari Kazuya
;
Su Jia
;
Nakamura Ken
;
Nitta Koyo
;
Kawashima Kimiko
;
Okamoto Jun
;
Ono Naoki
;
Kusaba Ritsu
;
Sagata Atsushi
;
Iwasaki Hiroe
;
Ikeda Mitsuo
;
Shimizu Atsushi
会议名称:
《Symposium on VLSI Circuits》
|
2015年
关键词:
4K/8K;
HEVC;
encoder;
prediction mode decision;
3.
FPGA-accelerated complex event processing
机译:
FPGA加速复杂事件处理
作者:
Takenaka Takashi
;
Inoue Hiroaki
;
Hosomi Takeo
;
Nakamura Yuichi
会议名称:
《Symposium on VLSI Circuits》
|
2015年
4.
An 82-efficient multiphase voltage-regulator 3D interposer with on-chip magnetic inductors
机译:
一种82%效率的多相电压 - 稳压器3D插入式磁电感器
作者:
Tien Kevin
;
Sturcken Noah
;
Naigang Wang
;
Jae-woong Nah
;
Bing Dang
;
OSullivan Eugene
;
Andry Paul
;
Petracca Michele
;
Carloni Luca P.
;
Gallagher William
;
Shepard Kenneth
会议名称:
《Symposium on VLSI Circuits》
|
2015年
关键词:
3D integration;
DVFS;
TSVs;
buck converter;
integrated magnetics;
nonlinear control;
5.
An 8GHz, 0.005mm all digital clock generator having 0.1 frequency accuracy by new ZTC algorithm
机译:
8GHz,0.005mm的所有数字时钟发生器通过新的ZTC算法具有0.1 %的频率精度
作者:
Wooseok Kim
;
Taeik Kim
;
Jihyun Kim
;
Hyungjong Ko
会议名称:
《Symposium on VLSI Circuits》
|
2017年
关键词:
Clocks;
Generators;
Oscillators;
Temperature;
Temperature measurement;
Tuning;
Temperature dependence;
6.
An ultra-wide program, 122pJ/bit flash memory using charge recycling
机译:
使用电荷回收的超宽程序,122PJ /位闪存
作者:
Supreet Jeloka
;
Jeongsup Lee
;
Ziyun Li
;
Jinal Shah
;
Qing Dong
;
Kaiyuan Yang
;
Dennis Sylvester
;
David Blaauw
会议名称:
《Symposium on VLSI Circuits》
|
2017年
关键词:
Charge pumps;
SONOS devices;
Programming;
Tunneling;
Rails;
Energy measurement;
Frequency measurement;
7.
Automotive LIDAR Technology
机译:
汽车激光器技术
作者:
Mial E Warren
会议名称:
《Symposium on VLSI Circuits》
|
2019年
关键词:
Laser radar;
Automotive engineering;
Vertical cavity surface emitting lasers;
Detectors;
Laser beams;
Fiber lasers;
Safety;
8.
An 8nm All-Digital 7.3Gb/s/pin LPDDR5 PHY with an Approximate Delay Compensation Scheme
机译:
8NM全数字7.3GB / S / PIN LPDDR5 PHY,具有近似延迟补偿方案
作者:
Kwanyeob Chael
;
Jongryun Choi
;
Hyungkwon Lee
;
Jinho Choi
;
Shinyoung Yi
;
Yoonjee Nam
;
Sangyun Hwang
;
Joohyung Lee
;
Won Lee
;
Kihwan Seong
;
Joohee Shin
;
Soomin Lee
;
Seokkyun Ko
;
Jihun Oh
;
Billy Koo
;
Sanghune Park
;
Jongshin Shin
;
Hyungjong Ko
会议名称:
《Symposium on VLSI Circuits》
|
2019年
关键词:
Delays;
Random access memory;
Switches;
Voltage measurement;
Clocks;
Memory management;
Delay lines;
9.
An Energy-Efficient Comparator with Dynamic Floating Inverter Pre-Amplifier
机译:
具有动态浮动逆变器预放大器的节能比较器
作者:
Xiyuan Tang
;
Begum Kasap
;
Linxiao Shen
;
Xiangxing Yang
;
Wei Shi
;
Nan Sun
会议名称:
《Symposium on VLSI Circuits》
|
2019年
关键词:
Latches;
Energy efficiency;
Capacitors;
Voltage measurement;
Reservoirs;
Delays;
Inverters;
10.
Enabling circuit design using FinFETs through close ecosystem collaboration
机译:
通过关闭生态系统协作,使用FinFET实现电路设计
作者:
Sheu
;
Bing J.
;
Chang
;
Chih-Sheng
;
Chen
;
Yen-Huei
;
Wang
;
Ken
会议名称:
《Symposium on VLSI Circuits》
|
2013年
11.
Toward 1024-channel parallel neural recording: Modular Δ-ΔΣ analog front-end architecture with 4.84fJ/C-s·mm2 energy-area product
机译:
朝向1024通道并行神经记录:模块化Δ-ΔΣ模拟前端架构,具有4.84FJ / C-S·MM
2 SUP>能量面积产品
作者:
Sung-Yun Park
;
Jihyun Cho
;
Kyounghwan Na
;
Euisik Yoon
会议名称:
《Symposium on VLSI Circuits》
|
2015年
12.
High-level video analytics PC subsystem using SoC with heterogeneous multi-core architecture
机译:
高级视频分析PC子系统使用SOC与异构多核架构
作者:
Sasagawa Yukihiro
;
Mori Atsuhiro
会议名称:
《Symposium on VLSI Circuits》
|
2015年
13.
Rump Sessions
机译:
臀部会议
会议名称:
《Symposium on VLSI Circuits》
|
2009年
14.
Rump Sessions
机译:
臀部会议
会议名称:
《Symposium on VLSI Circuits》
|
2013年
15.
2013 symposium on VLSI technology circuits-conference schedule
机译:
2013年VLSI技术与电路会议日程安排研讨会
会议名称:
《Symposium on VLSI Circuits》
|
2013年
16.
A data pattern-tolerant adaptive equalizer using spectrum balancing method
机译:
使用频谱平衡方法进行数据模式容忍自适应均衡器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
ISI;
adaptive equalizer;
channel loss;
data pattern;
17.
A 6-bit 50-MS/s threshold configuring SAR ADC in 90-nm digital CMOS
机译:
在90-nm数字CMOS中配置SAR ADC的6位50-MS / S阈值
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
SAR ADC;
threshold configurable comparator;
18.
A 7.5-GS/s 3.8-ENOB 52-mW flash ADC with clock duty cycle control in 65nm CMOS
机译:
7.5gs / s 3.8-enob 52-MW闪光ADC,带有时钟占空比控制在65nm CMOS中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
ADC;
clock duty cycle control;
high sampling rate;
low power;
two-stage track and hold;
19.
A 1.3GHz 350mW hybrid direct digital frequency synhesizer in 90 nm CMOS
机译:
1.3GHz 350MW混合直接数字频率附加仪在90nm CMOS中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
20.
A 10-Gb/s burst-mode limiting amplifier using a two-stage active feedback circuit
机译:
一种10-GB / S突发模式限制放大器,使用两级有源反馈电路
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
burst mode and 10G-EPON;
limiting amplifier;
21.
A 10MHz 80#x03BC;W 67 ppm/#x00B0;C CMOS reference clock oscillator with a temperature compensated feedback loop in 0.18#x03BC;m CMOS
机译:
10MHz80μW67PPM/°C CMOS参考时钟振荡器,具有温度补偿的反馈回路在0.18μmcmos中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
CMOS;
clock;
frequency;
low power;
oscillator;
temperature compensation;
22.
A 0.6mW/Gbps, 6.4#x2013;8.0Gbps serial link receiver using local injection-locked ring oscillators in 90nm CMOS
机译:
使用90nm CMOS的局部注射锁定环振荡器为0.6mW / GBPS,6.4-8.0Gbps串行链路接收器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
Serial link;
injection-locked oscillator;
receiver;
23.
A 2#x00D7;V
DD
-enabled TV-tuner RF front-end supporting TV-GSM interoperation in 90nm CMOS
机译:
A 2×V
DD INF> -LEEABIZ TV-TUNER RF前端支持电视-GSM Interonation 90nm CMOS
会议名称:
《Symposium on VLSI Circuits》
|
2009年
24.
A 12-Gb/s transceiver in 32-nm bulk CMOS
机译:
32-nm散装CMOS中的12 GB / s收发器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
25.
60GHz RF-path phase-shifting two-element phased-array front-end in silicon
机译:
60GHz RF路径相位移位两元分数阵列在硅中前端
会议名称:
《Symposium on VLSI Circuits》
|
2009年
26.
A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist
机译:
具有负偏置读/写辅助的45nm 0.6V交叉点8T SRAM
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
45nm;
8T;
DVFS;
SRAM;
assist circuit;
cross point;
27.
A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme
机译:
5ns快速写入多级非易失性1 k位RRAM存储器,具有前进写方案
会议名称:
《Symposium on VLSI Circuits》
|
2009年
28.
A 2 #x00D7; 22Gb/s SFI5.2 CDR/deserializer in 65nm CMOS technology
机译:
65nm CMOS技术中的2×22GB / s SFI5.2 CDR / DERERIALIZER
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
CDR;
DQPSK;
SFI5.2;
29.
A 187MHz subthreshold-supply robust FIR filter with charge-recovery logic
机译:
带充电恢复逻辑的187MHz亚阈值提供强大的FIR滤波器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
30.
A 4.7Gb/s inductive coupling interposer with dual mode modem
机译:
具有双模调制解调器的4.7GB / S电感耦合器插入器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
31.
A 2.6-#x00B5;W sub-threshold mixed-signal ECG SoC
机译:
2.6-μW子阈值混合信号ECG SoC
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
ECG;
SoC;
mixed-signal;
sensor;
sub-threshold;
32.
A 12b 11MS/s successive approximation ADC with two comparators in 0.13#x03BC;m CMOS
机译:
12b 11ms / s连续近似ADC,两个比较器在0.13μmcmos中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
SAR ADC;
two-comparator architecture;
33.
A 58-#x00B5;W single-chip sensor node processor using synchronous MAC protocol
机译:
使用同步MAC协议的58μW单芯片传感器节点处理器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
Wireless sensor network;
low power;
single-chip sensor node;
synchronous MAC;
34.
A 82 efficiency 0.5 ripple 16-phase fully integrated capacitive voltage doubler
机译:
82%效率0.5%纹波16相完全集成电容电压倍增器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
SC-SMPS and multi-phase;
monolithic;
voltage doubler;
35.
A 0.114-mW dual-conduction class-C CMOS VCO with 0.2-V power supply
机译:
具有0.2-V电源的0.114 MW双传导CMOS VCO
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
CMOS;
VCO;
class-C;
impulse sensitivity function (ISF);
low supply voltage;
36.
A 190#x00B5;W#x2013;915MHz active neural transponder with 4-channel time multiplexed AFE
机译:
190μW-915MHz主动神经应答器,具有4通道时间多路复用AFE
会议名称:
《Symposium on VLSI Circuits》
|
2009年
37.
A 5th-order delta-sigma modulator with single-opamp resonator
机译:
具有单次Opamp谐振器的5
TH SUP> -Order Delta-Sigma调制器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
38.
A 47 Gb/s LDPC decoder with improved low error rate performance
机译:
一个47 GB / s LDPC解码器,具有改善的低差值性能
会议名称:
《Symposium on VLSI Circuits》
|
2009年
39.
Crosstalk-aware PWM-based on-chip global signaling in 65nm CMOS
机译:
基于串扰的PWM基础的芯片全局信令在65nm CMOS中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
40.
A 0.75V CMOS image sensor using time-based readout circuit
机译:
使用基于时间的读出电路的0.75V CMOS图像传感器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
41.
A 1.5-GHz 63dB SNR 20mW direct RF sampling bandpass VCO-based ADC in 65nm CMOS
机译:
1.5-GHz 63DB SNR 20MW直接RF采样带通VCO的ADC在65nm CMOS中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
ADC;
VCO-based;
bandpass;
direct RF sampling;
oversampling;
time-based;
time-interleaved;
42.
A 4.3GB/s mobile memory interface with power-efficient bandwidth scaling
机译:
一个4.3GB / S的移动存储器接口,具有功率高效的带宽缩放
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
I/O;
low power;
memory;
power management;
43.
Multi-phase 1GHz voltage doubler charge-pump in 32nm logic process
机译:
32nm逻辑过程中的多相1GHz电压倍增电荷泵
会议名称:
《Symposium on VLSI Circuits》
|
2009年
44.
A CMOS accelerometer using bondwire inertial sensing
机译:
使用键合惯性传感的CMOS加速度计
会议名称:
《Symposium on VLSI Circuits》
|
2009年
45.
A 40Gb/s decision feedback equalizer using back-gate feedback technique
机译:
使用后门反馈技术的40GB / s判定反馈均衡器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
46.
A scalable 3D processor by homogeneous chip stacking with inductive-coupling link
机译:
通过均匀芯片堆叠具有电感耦合链路的可伸缩3D处理器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
47.
A 31ns random cycle VCAT-based 4F2 DRAM with enhanced cell efficiency
机译:
基于31ns的基于VCAT的4F
2 SUP> DRAM,具有增强的单元效率
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
4Flt;
supgt;
2lt;
/supgt;
DRAM;
VCAT;
48.
A self-background calibrated 6b 2.7GS/s ADC with cascade-calibrated folding-interpolating architecture
机译:
具有级联校准的折叠插值架构的自我背景校准的6B 2.7GS / S ADC
会议名称:
《Symposium on VLSI Circuits》
|
2009年
49.
Challenges in nanoelectronics: Dream or reality?
机译:
纳米电子学的挑战:梦想或现实?
会议名称:
《Symposium on VLSI Circuits》
|
2009年
50.
A 4-stream 802.11n baseband transceiver in 0.13 #x00B5;m CMOS
机译:
一个4流802.11n基带收发器,在0.13μmcmos中
会议名称:
《Symposium on VLSI Circuits》
|
2009年
51.
High-density 3-D metal-fuse PROM featuring 1.37#x00B5;m2 1T1R bit cell in 32nm high-k metal-gate CMOS technology
机译:
高密度3-D金属 - 保险丝PROM具有1.37μm 2 sup> 1t1r位单元,32nm高k金属门CMOS技术
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
High-density PROM and metal fuse;
52.
A 19Gb/s 38mW 1-tap speculative DFE receiver in 90nm CMOS
机译:
在90nm CMOS中,19GB / s 38MW 1分接投机DFE接收器
会议名称:
《Symposium on VLSI Circuits》
|
2009年
关键词:
DFE;
Half-rate sampling;
ISI;
speculation;
53.
A 1.3#x03BC;W 0.6V 8.7-ENOB successive approximation ADC in a 0.18#x03BC;m CMOS
机译:
1.3μW0.6V 8.7-ENOB连续近似ADC为0.18μmCMOS
会议名称:
《Symposium on VLSI Circuits》
|
2009年
54.
An 8Ω 5.5W, 0.006 THD+N, 2×VBAT-Swing Switched-Mode Audio Amplifier with Fully-Differential Linear Buck-Boost Topology Achieving Total Efficiency of 87
机译:
8Ω5.5W,0.006%THD + N,2×VBAT - 摆动开关模式音频放大器,具有全差分线性降压 - 升压拓扑,实现总效率为87%
作者:
Ji-Hun Lee
;
Hyun-Sik Kim
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power amplifiers;
Switches;
Very large scale integration;
Topology;
Batteries;
Power generation;
Switching circuits;
55.
A 0.6V 86.5dB-DR 40kHz-BW Inverter-Based Continuous-Time Delta-Sigma Modulator with PVT-Robust Body-Biasing Technique
机译:
基于0.6V 86.5dB-DR 40kHz-BW逆变器的连续时间Δ-Sigma调制,具有PVT鲁棒体偏置技术
作者:
Sangwoo Lee
;
Sungsik Park
;
Yunhong Kim
;
Youngcheol Chae
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Modulation;
Prototypes;
Bandwidth;
Very large scale integration;
CMOS process;
Robustness;
Energy efficiency;
56.
A 300MHz-BW 38mW 37dB/40dB SNDR/DR Frequency-Interleaving Continuous-Time Bandpass Delta-Sigma ADC in 28nm CMOS
机译:
300MHz-BW 38MW 38MW 37dB / 40dB SNDR / DR频率交错连续时间带通量DELTA-SIGMA ADC在28nm CMOS中
作者:
Rundao Lu
;
Michael P. Flynn
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Radio frequency;
Filtering;
5G mobile communication;
Prototypes;
Bandwidth;
Very large scale integration;
Radar applications;
57.
A 20GS/s 8b Time-Interleaved Time-Domain ADC with Input-Independent Background Timing Skew Calibration
机译:
具有输入无关的背景定时偏斜校准的20GS / S 8B时间交错时域ADC
作者:
Minglei Zhang
;
Yan Zhu
;
Chi-Hang Chan
;
Rui P. Martins
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Estimation;
Bandwidth;
Very large scale integration;
Timing;
Calibration;
Time-domain analysis;
Convergence;
58.
A 16Kb Antifuse One-Time-Programmable Memory in 5nm High-K Metal-Gate Fin-FET CMOS Featuring Bootstrap High Voltage Scheme, Read Endpoint Detection and Pseudo-Differential Sensing
机译:
5nm高k金属栅极鳍FET CMOS中的16KB反熔丝一次性可编程存储器,具有引导高压方案,读取端点检测和伪差分传感
作者:
Shaun Chou
;
Gu-Huan Li
;
Shawn Chen
;
Jun-Hao Chang
;
Wan-Hsueh Cheng
;
Shao-Ding Wu
;
Philex Fan
;
Chia-En Huang
;
Yu-Der Chih
;
Yih Wang
;
Jonathan Chang
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Bit error rate;
High-voltage techniques;
Very large scale integration;
Programming;
FinFETs;
Sensors;
High-k dielectric materials;
59.
A 1.15μW 5.54mm3 Implant with a Bidirectional Neural Sensor and Stimulator SoC utilizing Bi-Phasic Quasi-static Brain Communication achieving 6kbps-10Mbps Uplink with Compressive Sensing and RO-PUF based Collision Avoidance
机译:
1.15μW5.54mm3采用双向神经传感器和刺激器SOC,利用双阶段准静态脑通信实现6kbps-10Mbps上行链路,采用压缩传感和基于RO-PUF的碰撞避免
作者:
Baibhab Chatterjee
;
Gaurav Kumar K
;
Mayukh Nath
;
Shulan Xiao
;
Nirmoy Modak
;
Debayan Das
;
Jayant Krishna
;
Shreyas Sen
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Energy loss;
Power demand;
Neural implants;
Very large scale integration;
Downlink;
Energy efficiency;
Safety;
60.
A 3.3-GHz 4.6-mW Fractional-N Type-II Hybrid Switched-Capacitor Sampling PLL Using CDAC-Embedded Digital Integral Path with −80-dBc Reference Spur
机译:
3.3-GHz 4.6-MW Fractional-N II型混合电容采样PLL,使用CDAC嵌入式数字积分路径与-80-DBC参考刺
作者:
Zule Xu
;
Masaru Osada
;
Tetsuya Iizuka
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power demand;
Digital-analog conversion;
Prototypes;
Switches;
Very large scale integration;
Jitter;
Generators;
61.
Fugaku and A64FX: the First Exascale Supercomputer and its Innovative Arm CPU
机译:
Fugaku和A64FX:第一个Exascale超级计算机及其创新的ARM CPU
作者:
Satoshi Matsuoka
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Heart;
COVID-19;
Scientific computing;
Sockets;
Switches;
Very large scale integration;
Supercomputers;
62.
A 56-Gb/s 8-mW PAM4 CDR/DMUX with High Jitter Tolerance
机译:
具有高抖动公差的56 GB / s 8-MW PAM4 CDR / DMUX
作者:
Guanrong Hou
;
Behzad Razavi
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Prototypes;
Bandwidth;
Jitter;
Very large scale integration;
CMOS technology;
63.
A Light Tolerant Neural Recording IC for Near-Infrared-Powered Free Floating Motes
机译:
用于近红外动力的自由浮动仪的耐光性神经记录IC
作者:
Jongyup Lim
;
Jungho Lee
;
Eunseong Moon
;
Michael Barrow
;
Gabriele Atzeni
;
Joseph Letner
;
Joseph Costello
;
Samuel R. Nason
;
Paras R. Patel
;
Parag G. Patil
;
Hun-Seok Kim
;
Cynthia A. Chestek
;
Jamie Phillips
;
David Blaauw
;
Dennis Sylvester
;
Taekwang Jang
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power demand;
Short-circuit currents;
Very large scale integration;
Feature extraction;
System-on-chip;
Gain control;
Junctions;
64.
A 1S Direct-Battery-Attach Integrated Buck Voltage Regulator with 5-Stack Thin-Gate 22nm FinFET CMOS Featuring Active Voltage Balancing and Cascaded Self-Turn-ON Drivers
机译:
1S直接电池连接集成降压电压稳压器,带5架薄栅极22NM FinFET CMOS,具有主动电压平衡和级联的自动开机驱动器
作者:
Suhwan Kim
;
Harish Krishnamurthy
;
Sally Amin
;
Sheldon Weng
;
Jin Feng
;
Huong Do
;
Kaladhar Radhakrishnan
;
Krishnan Ravichandran
;
James Tschanz
;
Vivek De
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power system measurements;
Inductance;
Regulators;
Density measurement;
Switches;
Very large scale integration;
Frequency conversion;
65.
CHIMERA: A 0.92 TOPS, 2.2 TOPS/W Edge AI Accelerator with 2 MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference
机译:
嵌合体:一个0.92顶部,2.2顶部/ W边缘AI加速器,带有2 MB的片上铸造电阻RAM,用于高效培训和推理
作者:
Massimo Giordano
;
Kartik Prabhu
;
Kalhan Koul
;
Robert M. Radway
;
Albert Gural
;
Rohan Doshi
;
Zainab F. Khan
;
John W. Kustin
;
Timothy Liu
;
Gregorio B. Lopes
;
Victor Turbiner
;
Win-San Khwa
;
Yu-Der Chih
;
Meng-Fan Chang
;
Guénolé Lallement
;
Boris Murmann
;
Subhasish Mitra
;
Priyanka Raina
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Training;
Resistive RAM;
Light rail systems;
Writing;
Very large scale integration;
Feature extraction;
Inference algorithms;
66.
Uniform Spin Qubit Devices with Tunable Coupling in an All-Silicon 300 mm Integrated Process
机译:
All-Silicon 300 mm集成过程中具有可调耦合的均匀自旋量子位装置
作者:
N. I. Dumoulin Stuyck
;
R. Li
;
C. Godfrin
;
A. Elsayed
;
S. Kubicek
;
J. Jussot
;
B. T. Chan
;
F. A. Mohiyaddin
;
M. Shehata
;
G. Simion
;
Y. Canvel
;
L. Goux
;
M. Heyns
;
B. Govoreanu
;
I. P. Radu
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Couplings;
Temperature sensors;
Fabrication;
Program processors;
Qubit;
Quantum dots;
Very large scale integration;
67.
DepFiN: A 12nm, 3.8TOPs depth-first CNN processor for high res. image processing
机译:
DEPUIN:高res的12nm,3.8tps深度第一CNN处理器。 图像处理
作者:
Koen Goetschalckx
;
Marian Verhelst
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Program processors;
Superresolution;
Noise reduction;
Neural networks;
Switches;
Very large scale integration;
Logic gates;
68.
Design and Technology Solutions for 3D Integrated High Performance Systems
机译:
3D集成高性能系统的设计和技术解决方案
作者:
G. Van der Plas
;
E. Beyne
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Solid modeling;
Three-dimensional displays;
Memory management;
Integrated circuit interconnections;
System integration;
Very large scale integration;
Silicon;
69.
A 7nm 0.46pJ/bit 20Gbps with BER 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode
机译:
使用最小内在自动对准和噪声免疫编码,7nm 0.46pj / bit 20gbps,配备BER 1E-25模切链路
作者:
Ying-Yu Hsu
;
Po-Chun Kuo
;
Chih-Lun Chuang
;
Po-Hao Chang
;
Hung-Hao Shen
;
Chen-Feng Chiang
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Bit error rate;
Wires;
Bandwidth;
Very large scale integration;
Packaging;
FinFETs;
Energy efficiency;
70.
A 6.54-to-26.03 TOPS/W Computing-In-Memory RNN Processor using Input Similarity Optimization and Attention-based Context-breaking with Output Speculation
机译:
一个6.54至26.03顶部/ W使用输入相似性优化和基于关注的上下文和输出猜测的关注的内存RNN处理器
作者:
Ruiqi Guo
;
Hao Li
;
Ruhui Liu
;
Zhixiao Zhang
;
Limei Tang
;
Hao Sun
;
Leibo Liu
;
Meng-Fan Chang
;
Shaojun Wei
;
Shouyi Yin
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Semiconductor device measurement;
Energy measurement;
Writing;
Very large scale integration;
Throughput;
Stability analysis;
Energy efficiency;
71.
Analog In-memory Computing in FeFET-based 1T1R Array for Edge AI Applications
机译:
基于FEFET的1T1R阵列中的模拟内存计算,用于EDGE AI应用程序
作者:
D. Saito
;
T. Kobayashi
;
H. Koga
;
N. Ronchi
;
K. Banerjee
;
Y. Shuto
;
J. Okuno
;
K. Konishi
;
L. Di Piazza
;
A. Mallik
;
J. Van Houdt
;
M. Tsukamoto
;
K. Ohkuri
;
T. Umebayashi
;
T. Ezaki
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Resistance;
Resistors;
Analytical models;
Microprocessors;
Computational modeling;
Computer architecture;
Tunneling;
72.
Monolithic Microring-based WDM Optical I/O for Heterogeneous Computing
机译:
基于单片微管的WDM光学I / O用于异构计算
作者:
Mark Wade
;
Daniel Jeong
;
Byungchae Kim
;
Mason Zhang
;
Woorham Bae
;
Chong Zhang
;
Pavan Bhargava
;
Derek Van Orden
;
Shahab Ardalan
;
Chandarasekaran Ramamurthy
;
Erik Anderson
;
Austin Katzin
;
Haiwei Lu
;
Sidney Buchbinder
;
Behrooz Beheshtian
;
Anatoly Khilo
;
Michael Rust
;
Chen Li
;
Forrest Sedgwick
;
John Fini
;
Roy Meade
;
Vladimir Stojanović
;
Chen Sun
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Wavelength measurement;
Measurement uncertainty;
Computer architecture;
Optical variables measurement;
Very large scale integration;
Wavelength division multiplexing;
Adaptive optics;
73.
A 5.1ms Low-Latency Face Detection Imager with In-Memory Charge-Domain Computing of Machine-Learning Classifiers
机译:
具有机器学习分类器的内存充电域计算的5.1ms低延迟面部检测成像器
作者:
Hyunsoo Song
;
Sungjin Oh
;
Juan Salinas
;
Sung-Yun Park
;
Euisik Yoon
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Prototypes;
Imaging;
Machine learning;
Very large scale integration;
Image capture;
Feature extraction;
Energy efficiency;
74.
VLSI Circuits 2021 Welcome
机译:
VLSI电路2021欢迎
会议名称:
《Symposium on VLSI Circuits》
|
2021年
75.
PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access
机译:
PNNPU:一个11.9个顶部/ W高速3D点云的神经网络处理器,具有基于块的常规DRAM访问的点处理
作者:
Sangjin Kim
;
Juhyoung Lee
;
Dongseok Im
;
Hoi-Jun Yoo
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Three-dimensional displays;
Power demand;
Neural networks;
Memory management;
Random access memory;
Object detection;
Very large scale integration;
76.
A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory
机译:
使用具有指数计算内存的异构计算架构的13.7 TFLOPS / W浮点DNN处理器
作者:
Juhyoung Lee
;
Jihoon Kim
;
Wooyoung Jo
;
Sangyeob Kim
;
Sangjin Kim
;
Jinsu Lee
;
Hoi-Jun Yoo
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Training;
Random access memory;
Computer architecture;
Very large scale integration;
CMOS technology;
Energy efficiency;
Heterogeneous networks;
77.
Graphene electro-absorption modulators integrated at wafer-scale in a CMOS fab
机译:
石墨烯电吸收调制器集成在CMOS Fab中的晶片级
作者:
C. H. Wu
;
S. Brems
;
D. Yudistira
;
D. Cott
;
A. Milenin
;
K. Vandersmissen
;
A. Maestre
;
A. Centeno
;
J. Van Campenhout
;
C. Huyghebaert
;
M. Pantouvaki
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Performance evaluation;
Voltage measurement;
Graphene;
Modulation;
Production;
Very large scale integration;
Tools;
78.
A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter CMOS Image Sensor with Pixel-Level ADC and In-Pixel Memory
机译:
2.6 E-RMS低随机噪声,116.2 MW低功耗2-MP全局快门CMOS图像传感器,具有像素级ADC和像素内存
作者:
Min-Woong Seo
;
Myunglae Chu
;
Hyun-Yong Jung
;
Suksan Kim
;
Jiyoun Song
;
Junan Lee
;
Sung-Yong Kim
;
Jongyeon Lee
;
Sung-Jae Byun
;
Daehee Bae
;
Minkyung Kim
;
Gwi-Deok Lee
;
Heesung Shim
;
Changyong Um
;
Changhwa Kim
;
In-Gyu Baek
;
Doowon Kwon
;
Hongki Kim
;
Hyuksoon Choi
;
Jonghyun Go
;
JungChak Ahn
;
Jaekyu Lee
;
Changrok Moon
;
Kyupil Lee
;
Hyoung-Sub Kim
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Stacking;
Memory management;
Random access memory;
Integrated circuit interconnections;
CMOS image sensors;
Very large scale integration;
79.
Committees
机译:
委员会
会议名称:
《Symposium on VLSI Circuits》
|
2021年
80.
A 28-GHz Phased-Array Relay Transceiver for 5G Network Using Vector-Summing Backscatter with 24-GHz Wireless Power and LO Transfer
机译:
用于5G网络的28GHz相控阵中继收发器,使用矢量求和背散,具有24 GHz无线电源和LO转移
作者:
Michihiro Ide
;
Atsushi Shirane
;
Kiyoshi Yanagisawa
;
Dongwon You
;
Jian Pang
;
Kenichi Okada
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Wireless communication;
Phased arrays;
5G mobile communication;
Power supplies;
Rectifiers;
Receivers;
Very large scale integration;
81.
A Fast-Beam-Switching 28-GHz Phased-Array Transceiver Supporting Cross-Polarization Leakage Self-Cancellation
机译:
快速切换28-GHz相控阵收发器支持交叉极化泄漏自消除
作者:
Jian Pang
;
Zheng Li
;
Xueting Luo
;
Joshua Alvin
;
Kiyoshi Yanagisawa
;
Yi Zhang
;
Zixin Chen
;
Zhongliang Huang
;
Xiaofan Gu
;
Weichu Chen
;
Yun Wang
;
Dongwon You
;
Zheng Sun
;
Yuncheng Zhang
;
Hongye Huang
;
Naoki Oshima
;
Keiichi Motoi
;
Shinichi Hori
;
Kazuaki Kunihiro
;
Tomoya Kaneko
;
Atsushi Shirane
;
Kenichi Okada
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
5G mobile communication;
Random access memory;
Switches;
Detectors;
Very large scale integration;
Transceivers;
System-on-chip;
82.
A Single-Supply Single-Core Inverse Class-D Digital Power Amplifier with Enhanced Power Back-Off Efficiency Adopting Output Power Scaling Technique
机译:
一种单芯逆等级功率放大器,采用输出功率缩放技术提高功耗备用效率
作者:
Kyung-Sik Choi
;
Jinho Ko
;
Sang-Gug Lee
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power amplifiers;
Very large scale integration;
Power generation;
83.
A Compact 8-bit, 8 GS/s 8×TI SAR ADC in 16nm with 45dB SNDR and 5 GHz ERBW
机译:
具有45dB的SNDR和5 GHz ERBW的紧凑型8位,8 GS / S 8×TI SAR ADC。
作者:
Ewout Martens
;
Davide Dermit
;
Mithlesh Shrivas
;
Shun Nagata
;
Jan Craninckx
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Degradation;
Quantization (signal);
Redundancy;
Very large scale integration;
Distortion;
Delays;
Gain;
84.
A 1024-Channel Simultaneous Recording Neural SoC with Stimulation and Real-Time Spike Detection
机译:
一个1024频道同时记录神经SOC,具有刺激和实时尖峰检测
作者:
Do-Yeon Yoon
;
Sonal Pinto
;
SungWon Chung
;
Paul Merolla
;
Thong-Wei Koh
;
Dongjin Seo
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Electrodes;
Power demand;
Action potentials;
Power system management;
Bandwidth;
Very large scale integration;
Real-time systems;
85.
A Feedforward and Feedback Constant-Slope Digital-to-Time Converter in 28nm CMOS Achieving ≤ 0.12 INL/Range over >100mV Supply Range
机译:
在28nm CMOS中的前馈和反馈恒定斜率数字转换器实现≤0.12%INL /范围内> 100mV供电范围
作者:
Peng Chen
;
Feifei Zhang
;
Suoping Hu
;
Robert Bogdan Staszewski
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Quantization (signal);
Protocols;
Power demand;
Injection-locked oscillators;
Linearity;
Focusing;
Jitter;
86.
A Self-Powered Wireless Gas Sensor Node Based on Photovoltaic Energy Harvesting
机译:
基于光伏能量收集的自动无线气体传感器节点
作者:
Phan Dang Hung
;
Yechan Park
;
Soon-Jae Kweon
;
Taeju Lee
;
Hyuntak Jeon
;
Seok-Tae Koh
;
Incheol Cho
;
Jun-Bo Yoon
;
Inkyu Park
;
Chul Kim
;
Sohmyung Ha
;
Minkyu Je
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Wireless communication;
Photovoltaic systems;
Wireless sensor networks;
Very large scale integration;
Signal processing;
Energy harvesting;
Gas detectors;
87.
A 0.93-μW Single-Stage Rail-to-Rail Class AB Buffer Amplifier Improving DC gain and Slew-Rate with Different-Ratio Current-Mirrors and Positive-Feedback Loops
机译:
0.93-μW单级轨道转向轨电压AB缓冲放大器,提高DC增益和带有不同比率电流镜和正反馈回路的拆卸速率
作者:
Joo-Mi Cho
;
Hyo-Jin Park
;
Sung-Wan Hong
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Feedback loop;
Prototypes;
Very large scale integration;
CMOS process;
Rail to rail amplifiers;
Periodic structures;
88.
A 56GHz Receiver Analog Front End for 224Gb/s PAM-4 SerDes in 10nm CMOS
机译:
56GHz接收器模拟前端224GB / s PAM-4 Serdes,10nm CMOS
作者:
Shiva Kiran
;
Ajay Balankutty
;
Yutao Liu
;
Rajeev Dokania
;
Hariprasath Venkataraman
;
Priya Wali
;
Stephen Kim
;
Yoel Krupnik
;
Ariel Cohen
;
Frank O’Mahony
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Impedance matching;
Receivers;
Very large scale integration;
Drives;
FinFETs;
89.
A 2.3GHz Fully Integrated DC-DC Converter based on Electromagnetically Coupled Class-D LC Oscillators achieving 78.1 Efficiency in 22nm FDSOI CMOS
机译:
基于电磁耦合的DC-DC转换器的2.3GHz完全集成的DC-DC转换器实现22nm FDSOI CMOS的78.1%效率
作者:
Alessandro Novello
;
Gabriele Atzeni
;
Giorgio Cristiano
;
Mathieu Coustans
;
Taekwang Jang
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Power system measurements;
Inductance;
Density measurement;
Electromagnetic interference;
Silicon-on-insulator;
DC-DC power converters;
Very large scale integration;
90.
EQZ-LDO: A Near-Zero EDP Overhead, >10M-Attack-Resilient, Secure Digital LDO featuring Attack-Detection and Detection-Driven Protection for a Correlation-Power-Analysis-Resilient IoT Device
机译:
EQZ-LDO:近零EDP开销,> 10M攻击 - 弹性,安全数字LDO,具有攻击检测和检测驱动的保护,用于相关 - 功率分析 - 弹性IOT设备
作者:
Sung Justin Kim
;
Dongkwun Kim
;
Ayushparth Sharma
;
Mingoo Seok
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Regulators;
Correlation;
Side-channel attacks;
Very large scale integration;
Internet of Things;
Resilience;
91.
A 192 nW 0.02 Hz High Pass Corner Acoustic Analog Front-End with Automatic Saturation Detection and Recovery
机译:
192 NW 0.02 Hz高通角声学模拟前端,具有自动饱和检测和恢复
作者:
Rohit Rothe
;
Minchang Cho
;
Kyojin Choo
;
Seokhyeon Jeong
;
Dennis Sylvester
;
David Blaauw
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Resistance;
Time-frequency analysis;
Systematics;
Frequency modulation;
Phase modulation;
Feedback;
Phase frequency detectors;
92.
A 3.68aFrms Resolution 183dB FoMs 4th-order Continuous-Time Bandpass ∆Σ Capacitance-to-Digital Converter in 0.18µm CMOS
机译:
3.68AFRMS分辨率183DB FOMS 4级连续时间带通ΔΣ电容到数字转换器在0.18μmCMOS中
作者:
Sujin Park
;
Hyungil Chae
;
SeongHwan Cho
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Energy resolution;
Very large scale integration;
Capacitance;
Thermal noise;
Energy efficiency;
93.
A 47.5nJ Resistor-to-Digital Converter for Detecting BTEX with 0.06ppb-Resolution
机译:
一个47.5NJ电阻器到数字转换器,用于检测0.06ppb分辨率的BTEX
作者:
Yongtae Lee
;
Byeonghwa Cho
;
Changuk Lee
;
Jongbaeg Kim
;
Youngcheol Chae
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Resistance;
Semiconductor device measurement;
Current measurement;
Energy resolution;
Prototypes;
Very large scale integration;
Energy efficiency;
94.
An 8MHz 31.25kS/s Impedance-Monitoring IC Based on IF-Sampling Architecture with a Band-Pass Delta-Sigma ADC
机译:
基于带频带通过Delta-Sigma ADC的采样架构的8MHz 31.25KS / S阻抗监控IC
作者:
Soon-Jae Kweon
;
Joonho Gil
;
Chulhyun Park
;
Sein Oh
;
Yoontae Jung
;
Injun Choi
;
Song-i Cheon
;
Hung Phan Dang
;
Ja-Hyuck Koo
;
Geunhoe Kim
;
Sohmyung Ha
;
Minkyu Je
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Band-pass filters;
Instruments;
Discrete Fourier transforms;
Low-pass filters;
Very large scale integration;
Amplitude modulation;
Mixers;
95.
A ±2A/15A Current Sensor with 1.4 μA Supply Current and ±0.35/0.6 Gain Error From −40 to 85°C using an Analog Temperature-Compensation Scheme
机译:
±2A / 15A电流传感器,电流为1.4μA电流和±0.35%/ 0.6%,使用模拟温度补偿方案从-40到85°C的误差
作者:
Roger Zamparette
;
Kofi Makinwa
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Resistors;
Sigma-delta modulation;
Very large scale integration;
CMOS process;
Energy efficiency;
System-on-chip;
96.
A 5nm Fin-FET 2G-search/s 512-entry x 220-bit TCAM with Single Cycle Entry Update Capability for Data Center ASICs
机译:
5nm fin-fet 2g-search / s 512-rest x 220位tcam,具有数据中心Asics的单循环条目更新功能
作者:
Chetan Deshpande
;
Ritesh Garg
;
Gajanan Jedhe
;
Gaurang Narvekar
;
Sushil Kumar
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Multiplexing;
Data centers;
Associative memory;
Density measurement;
Microprocessors;
Random access memory;
Computer architecture;
97.
Enhanced Core Circuits for scaling DRAM: 0.7V VCC with Long Retention 138ms at 125°C and Random Row/Column Access Times Accelerated by 1.5ns
机译:
增强型核心电路用于缩放DRAM:0.7V VCC,长期保留138ms,125°C和随机行/列访问时间加速了1.5ns
作者:
Nicky Lu
;
Chun Shiah
;
Juang-Ying Chueh
;
Bor-Doou Rong
;
Wei-Jr Huang
;
Ho-Yin Chen
;
Cheng-Nan Chang
;
Chang Chia-Wei
;
Tzung-Shen Chen
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Technological innovation;
Random access memory;
Very large scale integration;
98.
Solid state qubits: how learning from CMOS fabrication can speed-up progress in Quantum Computing
机译:
固态Qubits:如何从CMOS制造中学习如何加速量子计算的进展
作者:
I. P. Radu
;
R. Li
;
A. Potočnik
;
T. Ivanov
;
D. Wan
;
S. Kubicek
;
N. I. Dumoulin Stuyck
;
J. Verjauw
;
J. Jussot
;
Y. Canvel
;
C. Godfrin
;
M. Mongillo
;
R. Acharya
;
A. Elsayed
;
M. Shehata
;
X. Piao
;
A. Pacco
;
L. Souriau
;
S. Couet
;
B. T. Chan
;
J. Craninckx
;
B. Parvais
;
A. Grill
;
S. Narasimhamoorthy
;
S. Van Winckel
;
S. Brebels
;
F. A. Mohiyaddin
;
G. Simion
;
B. Govoreanu
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Fabrication;
Temperature distribution;
Quantum system;
Qubit;
Layout;
Very large scale integration;
Parallel processing;
99.
MN-Core - A Highly Efficient and Scalable Approach to Deep Learning
机译:
MN-Core - 深入学习的高效且可扩展的方法
作者:
K. Namura
;
J. M. Kühn
;
T. Adachi
;
H. Imachi
;
H. Kaneko
;
T. Kato
;
G. Watanabe
;
N. Tanaka
;
S. Kashihara
;
H. Miyashita
;
Y. Tomonaga
;
R. Okuta
;
T. Akiba
;
B. Vogel
;
S. Kitajo
;
F. Osawa
;
K. Takahashi
;
Y. Takatsukasa
;
K. Mizumaru
;
T. Yamauchi
;
J. Ono
;
A. Takahashi
;
T. Ahmed
;
Y. Doi
;
K. Hiraki
;
J. Makino
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Deep learning;
Training;
Very large scale integration;
100.
A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers
机译:
用于可伸缩量子计算机的5.5MW /通道2至7GHz频率可合成频率可综合控制低温脉冲调制器
作者:
Kiseo Kang
;
ByungJun Kim
;
Gahyun Choi
;
Sun-Kyung Lee
;
Jisoo Choi
;
Jaeho Lee
;
Seokhyeong Kang
;
Moonjoo Lee
;
Ho-Jin Song
;
Yonuk Chong
;
Jae-Yoon Sim
会议名称:
《Symposium on VLSI Circuits》
|
2021年
关键词:
Computers;
Interpolation;
Frequency synthesizers;
Frequency modulation;
Superconducting integrated circuits;
Qubit;
Cryogenics;
意见反馈
回到顶部
回到首页