掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Optical microlithography XXVIII
Optical microlithography XXVIII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
无线电与电视
电子工业专用设备
通信技术
中国无线电
信息网络
光通信研究
中国有线电视
电信建设
信息技术
变频器世界
更多>>
相关外文期刊
International journal of autonomous and adaptive communications systems
Electrical Engineers - Part I: General, Journal of the Institution of
Elektor Electronics
IEICE Transactions on Communications
Optoelectronics letters
Journal of issues in informing science & information technology
Telecom
Proceedings of the IEE - Part B: Radio and Electronic Engineering
ITU news
New media markets
更多>>
相关中文会议
第五届全国电磁发射技术学术交流会
中国电子学会敏感技术分会电压敏专业学部第十七届学术年会
第二十五届中国(天津)2011’IT、网络、信息技术、电子、仪器仪表创新学术会议
2006全国第十一届微波集成电路与移动通信学术年会
第十二届全国混合集成电路学术会议
2003中国集成电路产业发展战略研讨会暨中国半导体行业协会IC分会年会
第九届卫星通信学术年会
2008年电子高等教育学术研讨会
第16届国际广播电视技术讨论会(ISBT 2011)
第五届信号和智能信息处理与应用学术会议
更多>>
相关外文会议
In-Line Characterization, Yield Reliability, and Failure Analyses in Microelectronic Manufacturing
Terahertz, RF, millimeter, and submillimeter-wave technology and applications XI
Terahertz for Military and Security Applications V; Proceedings of SPIE-The International Society for Optical Engineering; vol.6549
Symposia on Applications of Ferromagnetic and Optical Materials, Storage and Magnetoelectronics, Apr 16-20, 2001, San Francisco, California
Silicon science and advanced micro-device engineering I
Motion imagery technologies, best practices, and workflows for intelligence, surveillance, and reconnaissance (ISR), and situational awareness
Broadband access communication technologies IV
International VLSI Multilevel Interconnection Conference(VMIC); 20070925-27; Fremont,CA(US)
Symposium on Filled and Nanocomposite Polymer Materials, Nov 27-30, 2000, Boston, Massachusetts, U.S.A.
Symposium Proceedings vol.920; Symposium on Smart Nanotextiles; 20060418-19; San Francisco,CA(US)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Mask 3D induced Phase and the mitigation by absorber optimization
机译:
遮罩3D诱导的相位并通过吸收体优化来缓解
作者:
Jo Finders
;
Jean Galvier
会议名称:
《Optical microlithography XXVIII》
|
2015年
2.
Patterning Process Exploration of Metal 1 layer in 7nm node with 3D Pattering Flow Simulations
机译:
用3D图案流动模拟研究7nm节点中金属1层的图案化工艺。
作者:
Weimin Gao
;
Ivan Ciofi
;
Yves Saad
;
Philippe Matagne
;
Michael Bachmann
;
Mohamed Oulmane
;
Werner Gillijns
;
Kevin Lucas
;
Wolfgang Demmerle
;
Thomas Schmoeller
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
7nm node;
self-aligned quadruple patterning (SAQP);
multi-patterning;
block exposure;
3.
Single Lithography Exposure Edge Placement Model
机译:
单光刻曝光边缘放置模型
作者:
Jacek. K. Tyminski
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Edge Placement Errors;
Image Overlay;
Edge Placement Predictions;
Image Formation;
Image Placement;
4.
Multicolor, visible-light nanolithography
机译:
多色可见光纳米光刻
作者:
John T. Fourkas
;
Zuleykhan Tomova
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Photolithography;
photoresist;
multiphoton absorption polymerization;
nonlinear processes;
two-color lithography;
three-color lithography;
5.
Progresses in 300mm DUV Photolithography for the Development of Advanced Silicon Photonic Devices
机译:
用于先进硅光子器件开发的300mm DUV光刻技术取得进展
作者:
Charles Baudot
;
Bertrand Szelag
;
Nacima Allouti
;
Corinne Comboroure
;
Sebastien Berard- Bergery
;
Christian Vizioz
;
Sebastien Barnola
;
Fabien Gays
;
Denis Mariolle
;
Thomas Ferrotti
;
Aurelie Souhaite
;
Stephane Brision
;
Christophe Kopp
;
Sylvie Menezo
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Silicon Photonics;
300mm DUV dry photolithography;
6.
Double-sided diffractive photo-mask for sub-500nm resolution proximity i-line mask-aligner lithography
机译:
用于500nm以下分辨率的i线掩模对准器光刻的双面衍射光掩模
作者:
Yannick Bourgin
;
Thomas Siefke
;
Thomas Kaesebier
;
Ernst-Bernhard Kley
;
Uwe D. Zeitner
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Proximity lithography;
mask-aligner;
mask design;
subwavelength structures;
nanostructure fabrication;
7.
Mask-induced best-focus-shifts in DUV and EUV lithography
机译:
DUV和EUV光刻中掩模引起的最佳聚焦偏移
作者:
Andreas Erdmann
;
Peter Evanschitzky
;
Jens Timo Neumann
;
Paul Graeupner
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
mask topography effects;
mask-induced-focus-shifts;
wave aberrations;
Zernike analysis;
DUV and EUV lithography;
computational lithography;
8.
Characterizing the dependence of thick-mask edge effects on illumination angle using AIMS images
机译:
使用AIMS图像表征厚掩模边缘效应对照明角度的依赖性
作者:
Aamod Shanker
;
Martin Sczyrba
;
Falk Lange
;
Brid Connolly
;
Andy Neureuther
;
Laura Waller
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Mask topography;
Off-axis illumination;
Polarization dependent edge effects;
Aerial Image Measurement System (AIMS);
Transport of Intensity Equation;
Phase imaging;
Opaque MoSi on Glass (OMOG);
9.
Accurate, full chip 3D electromagnetic field model for non-Manhattan mask corners
机译:
适用于非曼哈顿面具角落的精确,全芯片3D电磁场模型
作者:
Michael Lam
;
Chris Clifford
;
Mike Oliver
;
David Fryer
;
Edita Tejnil
;
Kostas Adam
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
OPC;
3DEMF;
mask model;
Domain Decomposition Method;
DDM;
crosstalk;
corner model;
corner rounding;
corner chop;
10.
Printing circuits with 4nm feature size: Similarities and differences between EUV and optical lithographies
机译:
具有4nm特征尺寸的印刷电路:EUV和光刻之间的异同
作者:
Michael Yeung
;
Eytan Barouch
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
High-NA EUV lithography;
modal analysis;
mask effect;
polarization effect;
11.
Alternative to ILT method for high quality full-chip SRAF insertion
机译:
高质量全芯片SRAF插入的ILT方法的替代方法
作者:
Andrey Lutich
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
SRAF;
mbSRAF;
ILT;
RET;
OPC;
Process Window Optimization;
Sub-Resolution Assist Features;
Inverse Lithography Technology;
12.
Experiments using Automated Sample Plan Selection for OPC Modeling
机译:
使用自动样本计划选择进行OPC建模的实验
作者:
Ramya Viswanathan
;
Om Jaiswal
;
Nathalie Casati
;
Amr Abdo
;
James Oberschmidt
;
Josef Watts
;
Maria Gabrani
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Model Based Optical Proximity Correction;
OPC Models;
Model Calibration;
Sample Plans;
13.
Optical Proximity Correction with Hierarchical Bayes Model
机译:
分层贝叶斯模型的光学邻近校正
作者:
Tetsuaki Matsunawa
;
Bei Yu
;
David Z. Pan
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Lithography;
Optical Proximity Correction (OPC);
Hierarchical Bayes Model;
Machine Learning;
Model-based OPC;
14.
Hybrid OPC flow with pattern search and replacement
机译:
带有模式搜索和替换的混合OPC流程
作者:
Piyush Verma
;
Shikha Somani
;
Yang Y. Ping
;
Piyush Pathak
;
Rani S. Ghaida
;
Carl P. Babcock
;
Fadi Batarseh
;
Jingyu Wang
;
Sriram Madhavan
;
Sarah McGowan
会议名称:
《Optical microlithography XXVIII》
|
2015年
15.
Overlay Improvement Methods with Diffraction Based Overlay and Integrated Metrology
机译:
基于衍射的叠加和集成计量的叠加改进方法
作者:
Young Sun Nam
;
Sunny Kim
;
Ju Hee Shin
;
Young Sin Choi
;
Sang Ho Yun
;
Young Hoon Kim
;
Si Woo Shin
;
Jeong Heung Kong
;
Young Seog Kang
;
Hun Hwan Ha
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Integrated metrology;
larger samples;
diffraction based overlay;
accuracy;
16.
Wafer to Wafer Overlay Control Algorithm Implementation Based on Statistics
机译:
基于统计的晶圆对晶圆覆盖控制算法的实现
作者:
Byeong Soo Lee
;
Young Seog Kang
;
Jeong Heung Kong
;
Hyun Woo Hwang
;
Myeong Gyu Song
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
APC;
Wafer to Wafer Control;
Overlay Control;
17.
Immersion dry scanner extensions for sub-10nm production nodes
机译:
10纳米以下生产节点的浸入式和干式扫描仪扩展
作者:
Stefan Weichselbaum
;
Frank Bornebroek
;
Toine de Kort
;
Richard Droste
;
Roelof F. de Graaf
;
Rob van Ballegoij
;
Herman Botter
;
Matthew G. McLaren
;
Wim P. de Boeij
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Immersion lithography;
ArFi;
KrF;
exposure systems;
overlay;
18.
Green solution: 120W ArF immersion light source supporting the next generation multiple-pattering lithography
机译:
绿色解决方案:120W ArF浸入式光源,支持下一代多图案光刻
作者:
Takahito Kumazaki
;
Takeshi Ohta
;
Keisuke Ishida
;
Hiroaki Tsushima
;
Akihiko Kurosu
;
Kouji Kakizaki
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
DUV;
ArF;
photo-lithography;
green manufacturing;
injection-lock laser;
120W;
E95;
wavelength stability;
multi-patterning;
19.
Advanced Process Characterization using Light Source Performance Modulation and Monitoring
机译:
使用光源性能调制和监控进行高级过程表征
作者:
Paolo Alagna
;
Omar Zurita
;
Gregory Rechtsteiner
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Optical Lithography;
Excimer Laser;
E95;
Bandwidth;
Beam Divergence;
Depth of Focus;
Process Window;
20.
Analytical analysis for impact of polarization aberration of projection lens on lithographic imaging quality
机译:
投影透镜偏振像差对光刻成像质量影响的分析分析
作者:
Lina Shen
;
Sikun Li
;
Xiangzhao Wang
;
Guanyong Yan
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
optical lithography;
polarization aberration;
Pauli coefficient;
Pauli-Zernike coefficient;
21.
Reducing the Substrate Dependent Scanner Leveling Effect in Low-k1 Contact Printing
机译:
降低低k1接触式印刷中与基材有关的扫描仪整平效果
作者:
C.S. Chang
;
C.F. Tseng
;
C.H. Huang
;
Elvis Yang
;
T.H. Yang
;
K.C. Chen
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Leveling;
blind contact;
hard-mask;
22.
A Fast and Flexible Library-based Thick Mask Near-field Calculation Method
机译:
一种基于库的快速,灵活的厚掩模近场计算方法
作者:
Xu Ma
;
Jie Gao
;
Xuanbo Chen
;
Lisong Dong
;
Yanqiu Li
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Optical lithography;
thick mask;
near-field;
aerial image;
nonparametric kernel regression;
machine learning;
23.
Focus Shift Impacted by Mask 3D And Comparison between Att. PSM and OMOG
机译:
蒙版3D和Att之间的比较影响了焦点转移。 PSM和OMOG
作者:
Yansong Liu
;
Xiaojing Su
;
LiSong Dong
;
Zhiyang Song
;
Moran Guo
;
Yajuan Su
;
Yayi Wei
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
EMF effect;
M3D;
Tachyon;
24.
Forbidden Pitches: Causes, Source Optimization and their role in Design Rules
机译:
禁止的音调:原因,源优化及其在设计规则中的作用
作者:
Stefan Apostol
;
Paul Hurley
会议名称:
《Optical microlithography XXVIII》
|
2015年
25.
Evaluation of compact models for negative tone development layers at 20/14nm nodes
机译:
评估20 / 14nm节点上负色调显影层的紧凑模型
作者:
Ao Chen
;
Yee Mei Foong
;
Dong Qing Zhang
;
Hongxin Zhang
;
Angeline Chung
;
David Fryer
;
Yunfei Deng
;
Dmitry Medvedev
;
Yuri Granik
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Negative-tone development photoresist;
compact modeling;
outgassing;
development bias;
26.
Photoresist 3D profile related etch process simulation and its application to full chip etch compact modeling
机译:
与光刻胶3D轮廓相关的蚀刻过程仿真及其在全芯片蚀刻紧凑建模中的应用
作者:
Cheng-En Wu
;
Wayne Yang
;
Lan Luan
;
Hua Song
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
etch model;
R3D model;
OPC;
pattern density;
etch retargeting;
RIE;
plasma ion reflection;
27.
Impacts of Post OPC Shapes on Pattern
机译:
OPC后形状对图案的影响
作者:
W.H. Chu
;
Y.T. Tsai
;
S.Y. Huang
;
C.C. Kuo
;
H.T. Lin
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
DOM;
OPC;
Focus shifting;
High order diffraction;
28.
7nm Logic Optical Lithography with OPC-Lite
机译:
具有OPC-Lite的7nm逻辑光学光刻
作者:
Michael C. Smayling
;
Koichiro Tsujita
;
Hidetami Yaegashi
;
Valery Axelrad
;
Ryo Nakayama
;
Kenichi Oyama
;
Shohei Yamauchi
;
Hiroyuki Ishii
;
Koji Mikami
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Low k_1;
highly regular layout;
gridded design rules;
pitch division;
spacer double patterning;
lines and cuts;
design source mask optimization (DSMO);
29.
OPC Solution by Implementing Fast Converging Methodology
机译:
通过实施快速收敛的方法实现OPC解决方案
作者:
Qing Yang
;
Yaojun Du
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Repair;
DRC;
OPC verification;
Hot spot;
30.
Accurate and Fast Computation of Transmission Cross Coefficients
机译:
传输交叉系数的准确快速计算
作者:
Stefan Apostol
;
Paul Hurley
;
Radu-Cristian Ionescu
会议名称:
《Optical microlithography XXVIII》
|
2015年
31.
The study of lithography conditions to use advanced resist performance properly
机译:
适当使用高级抗蚀剂性能的光刻条件的研究
作者:
Zhengkai Yang
;
Wuping Wang
;
Quan Chen
;
Hajime Aoyama
;
Kengo Takemasa
;
Toshihiko Sei
;
Tami Miyazawa
;
Tomoyuki Matsuyama
;
Chun Shao
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Photoresist;
ArF immersion lithography;
Exposure tool;
32.
Enabling CoO Improvement Thru Green Initiatives
机译:
通过绿色倡议实现CoO改进
作者:
Eric Gross
;
GG Padmabandu
;
Richard Ujazdowski
;
Don Haran
;
Matt Lake
;
Eric Mason
;
Walter Gillespie
会议名称:
《Optical microlithography XXVIII》
|
2015年
33.
New Robust and Highly Customizable Light Source Management System
机译:
新型强大且高度可定制的光源管理系统
作者:
Yuji Minegishi
;
Kenji Takahisa
;
Hideyuki Ochiai
;
Takeshi Ohta
;
Tatsuo Enami
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
light source management;
web;
monitoring;
lithography;
34.
Optical Lithography with and without NGL for Single-Digit Nanometer Nodes
机译:
具有和不具有NGL的光学光刻技术,用于单数字纳米节点
作者:
Burn J. Lin
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Optical lithography;
next generation lithography;
single-digit nanometer nodes;
overlay;
resolution;
defects;
EUV;
Multiple e-beam;
Multiple e-beam direct write;
35.
Evolving Optical Lithography without EUV
机译:
没有EUV的光学光刻技术
作者:
Donis G. Flagello
;
Stephen P. Renwick
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Optical Lithography;
ArF Immersion;
Evolution;
36.
Impact of Bandwidth on Contrast Sensitive Structures for low k1 Lithography
机译:
低k1光刻技术中带宽对对比敏感结构的影响
作者:
Will Conley
;
Simon Hsieh
;
Paolo Alagna
;
Yaching Hou
;
Pedro Martinez
会议名称:
《Optical microlithography XXVIII》
|
2015年
37.
Solution for high-order distortion on extreme illumination condition using computational prediction method
机译:
计算预测法求解极端光照条件下的高阶畸变
作者:
Young Seog Kang
;
Hunhwan Ha
;
Jang-Sun Kim
;
Ju Hee Shin
;
Young Ha Kim
;
Young Sun Nam
;
Young-Sin Choi
;
Cedric Affentauschegg
;
Rob W. van der Heijden
;
Umar Rizvi
;
Bernd Geh
;
Eric Janda
;
Jan Baselmans
;
Stefan van der Sanden
;
Oh-Sung Kwon
;
Mariya Ponomarenko
;
Daan Slotboom
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
lens aberrations;
extreme illumination;
overlay;
process control;
computational lithography;
38.
Optimum ArFi light source bandwidth for 10nm node logic imaging performance
机译:
最佳ArFi光源带宽,可实现10nm节点逻辑成像性能
作者:
Paolo Alagna
;
Omar Zurita
;
Vadim Timoshkov
;
Patrick Wong
;
Greg Rechtsteiner
;
Jan Baselmans
;
Julien Mailfert
会议名称:
《Optical microlithography XXVIII》
|
2015年
39.
Optimization methods for 3D lithography process utilizing DMD-based maskless grayscale photolithography system
机译:
基于DMD的无掩模灰度光刻系统的3D光刻工艺优化方法
作者:
Xiaoxu Ma
;
Yoshiki Kato
;
Yoshikazu Hirai
;
Floris van Kempen
;
Fred van Keulen
;
Toshiyuki Tsuchiya
;
Osamu Tabata
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
3D microstructuring;
3D photolithography;
DMD-based grayscale lithography;
Optimization;
Lithography simulation;
Thick photoresist;
Fast Marching Method;
40.
Intensity and phase fields behind Phase Shifting Masks studied with High Resolution Interference Microscopy
机译:
高分辨率干涉显微镜研究相移掩模背后的强度和相场
作者:
Krishnaparvathy Puthankovilakam
;
Toralf Scharf
;
Hans Peter Herzig
;
Tina Weichelt
;
Uwe Zeitner
;
Uwe Vogler
;
Reinhard Voelkel
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Proximity printing;
Phase Shifting Mask (PSM);
Light evolution;
High Resolution Interference Microscopy (HRIM);
41.
Study of Cut Mask Lithography Options for Sub-20nm Metal Routing
机译:
20nm以下金属布线切割掩模光刻技术的研究
作者:
Yan Wang
;
Ryoung-Han Kim
;
Lei Yuan
;
Anindarupa Chunder
;
Chenchen Wang
;
Jia Zeng
;
Youngtag Woo
;
Jongwook Kye
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
sub-20nm half pitch patterning;
cut mask;
double patterning;
triple patterning;
quadruple pattering;
EUV;
placement;
routing;
42.
Inverse lithography using sparse mask representations
机译:
使用稀疏掩模表示的反光刻
作者:
Radu C. Ionescu
;
Paul Hurley
;
Stefan Apostol
会议名称:
《Optical microlithography XXVIII》
|
2015年
43.
RET Selection on state-of-the-art NAND flash
机译:
最新NAND闪存的RET选择
作者:
Neal Lafferty
;
Yuan He
;
Jinhua Pei
;
Feng Shao
;
QingWei Liu
;
Xuelong Shi
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
SMO;
scoring;
NAND Flash;
44.
Pixel-based ant colony algorithm for source mask optimization
机译:
基于像素的蚁群算法用于源掩模优化
作者:
Hung-Fei Kuo
;
Wei-Chen Wu
;
Frederick Li
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
source mask optimization (SMO);
ant colony optimization (ACO);
critical dimension (CD);
depth of focus (DOF);
exposure latitude (EL);
45.
Low-Contrast Photoresist Development Model for OPC Applications at the 10nm Node
机译:
适用于10nm节点OPC应用的低对比度光刻胶开发模型
作者:
Cheng-En Wu
;
David Wei
;
Charlie Zhang
;
Hua Song
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
staged OPC model;
resist development;
negative tone development;
developer loading;
development bias;
46.
A Pattern- and Optics-Independent Compact Model of Mask3D under Off-Axis Illumination with Significant Efficiency and Accuracy Improvements
机译:
轴外照明下Mask3D的与图案和光学无关的紧凑模型,具有显着的效率和精度改进
作者:
Hongbo Zhang
;
Qiliang Yan
;
David Wei
;
Ebo Croffie
会议名称:
《Optical microlithography XXVIII》
|
2015年
47.
Rigorous Wafer Topography Simulation for Investigating Wafer Alignment Quality and Robustness
机译:
严格的晶圆形貌仿真,用于研究晶圆对准质量和鲁棒性
作者:
Nicolo Morgana
;
Dmitrii Gavrilin
;
Andreas Greiner
;
Detlef Hofmann
;
Itaru Kamohara
;
Ulrich Klostermann
;
Holger Moeller
;
Juergen Preuninger
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Alignment;
rigorous simulation;
Maxwell solver;
wafer topography;
lithography;
overlay;
48.
Investigating deprotection-induced shrinkage and retro-grade sidewalls in NTD resists
机译:
研究NTD抗蚀剂中脱保护引起的收缩和逆行侧壁
作者:
Thomas V. Pistor
;
Chenchen Wang
;
Yan Wang
;
Lei Yuan
;
Jongwook Kye
;
Yixu Wu
;
Sohan Mehta
;
Paul Ackmann
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Deprotection-induced shrinkage is measured by AFM while SEM induced shrinkage is estimated from repeated SEM measurements. SEM images for various features are analyzed and compared to simulation.;
49.
Uncertainty Aware Site Selection Method for OPC Model Calibration
机译:
用于OPC模型校准的不确定性站点选择方法
作者:
Tamer H. Coskun
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
OPC Mode calibration;
calibration pattern selection;
image parameters;
resist-surface parameters;
resists model;
model uncertainty;
50.
Application of SEM-Based Contours for OPC Model Weighting and Sample Plan Reduction
机译:
基于SEM的轮廓在OPC模型加权和样本计划缩减中的应用
作者:
Marshal Miller
;
Keiichiro Hitomi
;
Scott Halle
;
Ioana Graur
;
Todd Bailey
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
OPC Modeling;
CD-SEM;
contour;
contour modeling;
hybrid OPC modeling;
51.
Hotspots Prediction after Etching Process based on Defect Rate
机译:
基于缺陷率的蚀刻工艺后的热点预测
作者:
Taiki Kimura
;
Yuki Watanabe
;
Toshiya Kotani
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
hotspots;
resist cross-sectional profile;
defect rate;
after etching;
optical intensity;
52.
Intra-field Overlay Correction for Illumination Based Distortion
机译:
基于照明的失真的场内重叠校正
作者:
Michael Pike
;
Timothy Brunner
;
Bradley Morgenfeld
;
Nan Jing
;
Timothy Wiltshire
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
High Order Intra-field Overlay;
Overlay;
Intra-field distortion;
iHOPC;
Photolithography;
53.
Latest performance of ArF immersion scanner NSR-S630D for high-volume manufacturing for 7nm node
机译:
ArF浸没式扫描仪NSR-S630D的最新性能,可大批量制造7nm节点
作者:
Takayuki Funatsu
;
Yusaku Uehara
;
Yujiro Hikida
;
Akira Hayakawa
;
Satoshi Ishiyama
;
Toru Hirayama
;
Hirotaka Kono
;
Yosuke Shirata
;
Yuichi Shibazaki
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Immersion lithography;
Multiple patterning;
Overlay;
CD uniformity;
Distortion;
Thermal aberration;
Mix and match;
Total lithography;
54.
New ArF immersion light source introduces technologies for high-volume 14nm manufacturing and beyond
机译:
新型ArF浸入式光源引入了用于14nm及更大批量生产的技术
作者:
T. Cacouris
;
W. Conley
;
J. Thornes
;
T. Bibby
;
J. Melchior
;
T. Aggarwal
;
E. Gross
会议名称:
《Optical microlithography XXVIII》
|
2015年
55.
Total lithography system based on a new application software platform enabling smart scanner management
机译:
基于新应用软件平台的整体光刻系统,可实现智能扫描仪管理
作者:
Hirotaka Kono
;
Kazuo Masaki
;
Tomoyuki Matsuyama
;
Shinji Wakamoto
;
Seemoon Park
;
Taro Sugihara
;
Yuichi Shibazaki
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
exposure tool;
scanner;
total lithography;
application software;
scanner management;
automation;
56.
Comparing the Experimental Resist Pattern Width with Aerial Image Intensity in Resist in High NA Projection Lens
机译:
在高NA投影镜头中将实验抗蚀剂图案宽度与抗蚀剂的航空像强度进行比较
作者:
Masato Shibuya
;
Akira Takada
;
Toshiharu Nakashima
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
imaging theory;
high NA;
radiometric correction;
incoming inclination factor;
conservation law of energy;
correspondence principle;
reciprocal theorem;
photon number;
57.
120W ArF Laser with high wavelength stability and efficiency for the next generation multiple-patterning immersion lithography
机译:
具有高波长稳定性和效率的120W ArF激光器,用于下一代多图案浸没式光刻
作者:
Takeshi Ohta
;
Keisuke Ishida
;
Takahito Kumazaki
;
Hiroaki Tsushima
;
Akihiko Kurosu
;
Kouji Kakizaki
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
DUV;
KrF;
ArF;
photo-lithography;
injection-lock laser;
line narrow;
193nm lithography;
Immersion;
spectrum bandwidth;
high power;
58.
Source Optimization using Particle Swarm Optimization Algorithm in Photolithography
机译:
光刻中使用粒子群优化算法的光源优化
作者:
Lei Wang
;
Sikun Li
;
Xiangzhao Wang
;
Guanyong Yan
;
Chaoxing Yang
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Photolithography;
resolution enhancement technique;
source optimization;
particle swarm optimization;
59.
Advanced Mask Aligner Lithography (AMALITH)
机译:
先进的掩模对准仪光刻(AMALITH)
作者:
Reinhard Voelkel
;
Uwe Vogler
;
Arianna Bramati
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Photolithography;
mask aligner;
customized illumination;
optical proximity correction (OPC);
source mask optimization (SMO);
MO Exposure Optics~® (MOEO);
advanced mask aligner lithography (AMALITH);
patterned sapphire substrates (PSS);
TSV;
3D-IC;
wafer-level-packaging (WLP);
60.
An Improved Virtual Aberration Model to Simulate MASK 3D and Resist Effects
机译:
一种改进的虚拟像差模型,可模拟MASK 3D和抵抗效应
作者:
Reiji Kanaya
;
Koichi Fujii
;
Motokatsu Imai
;
Tomoyuki Matsuyama
;
Takao Tsuzuki
;
Qun Ying LIN
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
simulation;
CD;
litho;
mask;
3D effects;
Kirchhoff;
EMF;
FDTD;
BFD;
Zernike;
resist;
61.
Resist profile modeling with compact resist model
机译:
使用紧凑型抗蚀剂模型进行抗蚀剂轮廓建模
作者:
Christian Zuniga
;
Yunfei Deng
;
Yuri Granik
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Resist;
CAR;
profile;
toploss;
NTD;
SRAF;
CM1;
OPC;
simulation;
62.
Calibrating etch model with SEM contours
机译:
用SEM轮廓校准蚀刻模型
作者:
Francois Weisbuch
;
A. Omran
;
Kenneth Jantzen
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
OPC;
model;
calibration;
verification;
SEM contour;
etch;
VEB;
recipe;
target;
63.
The comparison of various strategies of setting up an OPC repair flow with respect to process window constraints
机译:
针对过程窗口约束条件设置OPC修复流程的各种策略的比较
作者:
Yaojun Du
;
Qing Yang
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
OPC Repair;
Nominal constraint;
PW CD constraint;
PW area constraint;
Weak point;
64.
Model-Based Hot Spot Fixing by using Target Point Control Function
机译:
使用目标点控制功能的基于模型的热点固定
作者:
Seongjin Kim
;
Munhoe Do
;
Youngbae An
;
Jaeseung Choi
;
Hyunjo Yang
;
Donggyu Yim
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
Hot Spot Fixing;
Retarget Point;
OPC recipe;
OPC TAT;
65.
Sub-resolution Assist Feature (SRAF) Printing Prediction using Logistic Regression
机译:
使用Logistic回归的亚分辨率辅助功能(SRAF)打印预测
作者:
Chin Boon Tan
;
Kar Kit Koh
;
Dongqing Zhang
;
Yee Mei Foong
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
sub-resolution assist feature;
SRAF printing;
SRAF model;
logistic regression;
66.
Modeling and simulation of the beam steering unit
机译:
光束转向器的建模与仿真
作者:
Jiayun Feng
;
Xiaoping Li
;
Xin He
;
Jinchun Wang
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
beam steering unit;
3-RPS;
parallel mechanism;
flexure hinge;
optimization;
67.
DUV ArF Light Source Automated Gas Optimization for Enhanced Repeatability and Availability
机译:
DUV ArF光源自动气体优化,可增强重复性和可用性
作者:
Tanuj Aggarwal
;
Kevin OBrien
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
DUV;
Gas Optimization;
68.
Performance of ETC Controller in High Volume Production
机译:
ETC控制器在大批量生产中的性能
作者:
Joshua Thornes
;
Kevin OBrien
;
Hoang Dao
;
David Dunlap
;
Ronnie Flores
;
Matt Lake
;
Aleks Simic
;
Brian Wehrung
;
John Wyman
;
Will Conley
会议名称:
《Optical microlithography XXVIII》
|
2015年
69.
Extending green technology innovations to enable greener fabs
机译:
扩展绿色技术创新以实现更绿色的晶圆厂
作者:
Kenji Takahisa
;
Youngsun Yoo
;
Hitomi Fukuda
;
Yuji Minegishi
;
Tatsuo Enami
会议名称:
《Optical microlithography XXVIII》
|
2015年
关键词:
DUV;
KrF;
ArF;
photo-lithography;
natural resources;
green manufacturing;
open innovation;
injection-lock laser;
意见反馈
回到顶部
回到首页