掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Optical microlithography XXVII
Optical microlithography XXVII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
中国电信建设
中国新通信
卫星电视与宽带多媒体
中国数字电视
激光技术
数据通信
软件产业与工程
信息化建设
中国信息界
电视技术
更多>>
相关外文期刊
Journal of the electronics industry
Electronic and Radio Engineers, Proceedings of the Indian Division of the Institution of
Journal of The Institute of Electronics Engineers of Korea
Industrial Electronics and Control Instrumentation, IEEE Transactions on
IEEE Transactions on Vehicular Technology
Active and Passive Electronic Components
Surface Mount Technology
Antennas and Propagation Society Newsletter, IEEE
Telecommunications
Advanced Packaging
更多>>
相关中文会议
第六届全国建设事业IC卡应用和技术发展研讨会
2002全国广播电视科技学术年会
2014春季国际PCB技术/信息论坛
四川省电子学会半导体与集成技术专委会2012年度学术年会
第十四届全国半导体集成电路、硅材料学术年会
四川省通信学会2009年年会
中国西部青年通信学术会议
第五届京、津、沪、渝有线电视技术研讨会暨第五届全国城市有线电视技术研讨会
第十七届全国青年通信学术年会、2012全国物联网与信息安全学术年会
第10届全国光电技术学术交流会
更多>>
相关外文会议
2017 International Conference on Advances in Computing, Communication and Control
Conference on high-power diode laser technology and applications VII; 20090126-27; San Jose, CA(US)
Recent researches in communications, signals and information technology
Conference on Microwave Update 2000 Sep 28-30, 2000, Trevose, Pennsylvania
Display technologies and applications for defense, security, and avionics VIII; and Head- and Helmet-Mounted Displays XIX
NATO Advanced Research Workshop on Colossal Magnetoresistance and Vibronic Interactions and the 15th International Jahn-Teller Symposium on Vibronic Interactions in Crystals and Molecules, Aug 16-22, 2000, Boston, U.S.A.
Symposium on Polycrystalline Metal and Magnetic Thin Films held April 5-8, 1999, San Francisco, California, U.S.A.
2014 International Conference on Electronics, Communication and Instrumentation
Proceedings of the 10th International Conference on e-Business
NATO Advanced Study Institute on Scanning Probe Microscopy: Characterization, Nanofabrication and Device Application of Functional Materials; 20021001-13; Algarve(PT)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
The saga of sigma: Influences of illumination throughout optical generations
机译:
sigma的传奇:照明在整个光学世代中的影响
作者:
Bruce W. Smith
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
optical lithography;
illumination;
partial coherence;
Hopkins;
Koehler;
sigma;
off-axis;
polarization;
2.
Topographic and other Effects on EUV Pattern Fidelity
机译:
地形和其他对EUV模式保真度的影响
作者:
Chandra Sarma
;
Trey Graves
;
Mark Neisser
;
Stewart Robertson
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
EUV;
Topography;
Ground Rules;
DOF;
3-D transistors;
FINFET;
3.
Study of Lens Heating Behavior and Thick Mask Effects with a Computational Method
机译:
用计算方法研究镜片的加热行为和厚掩模效应
作者:
Ningning Jia
;
Seung-Hune Yang
;
Sangwook Kim
;
Jungdal Choi
会议名称:
《Optical microlithography XXVII》
|
2014年
4.
Experimental validation of rigorous, 3D profile models for negative-tone develop resists
机译:
负性显影抗蚀剂的严格3D轮廓模型的实验验证
作者:
Weimin Gao
;
Ulrich Klostermann
;
Itaru Kamohara
;
Thomas Schmoeller
;
Kevin Lucas
;
Wolfgang Demmerle
;
Peter De Bisschop
;
Mailfert Julien
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
negative-tone development (NTD);
simulation;
resist modeling;
resist 3D (R3D);
resist profile;
OPC;
5.
193nm immersion lithography for high performance silicon photonic circuits
机译:
193nm浸没式光刻技术,用于高性能硅光子电路
作者:
Shankar Kumar Selvaraja
;
Gustaf Winroth
;
Sabrina Locorotondo
;
Gayle Murdoch
;
Alexey Milenin
;
Christie Delvaux
;
Patrick Ong
;
Shibnath Pathak
;
Weiqiang Xie
;
Gunther Sterckx
;
Guy Lepage
;
Dries Van Thourhout
;
Wim Bogaerts
;
Joris Van Campenhout
;
Philippe Absil
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Silicon photonics;
WDM devices;
waveguides;
fiber-chip couplers;
6.
The solution to enhance ⅰ-line stepper applications by improving mix and match process overlay accuracy
机译:
通过提高混合和匹配工艺覆盖精度来增强ⅰ-line步进器应用的解决方案
作者:
Yuhei Sumiyoshi
;
Ryo Sasaki
;
Yasuo Hasegawa
;
Kentaro Ushiku
;
Hirotaka Sano
;
Atsushi Shigenobu
;
Bunsuke Takeshita
;
Seiya Miura
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
overlay accuracy;
mix and match;
Alvarez;
shot shape compensation;
ⅰ-line;
7.
Automated sample plan selection for OPC modeling
机译:
用于OPC建模的自动样品计划选择
作者:
Nathalie Casati
;
Maria Gabrani
;
Ramya Viswanathan
;
Zikri Bayraktar
;
Om Jaiswal
;
David DeMaris
;
Amr Abdo
;
James Oberschmidt
;
Andreas Krause
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
sample plan generation for process model calibration;
pattern sampling;
pattern clustering;
lithographic difficulty;
8.
11nm Logic Lithography with OPC-Lite
机译:
采用OPC-Lite的11nm逻辑光刻
作者:
Michael C. Smayling
;
Koichiro Tsujita
;
Hidetami Yaegashi
;
Valery Axelrad
;
Ryo Nakayama
;
Kenichi Oyama
;
Arisa Hara
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Low k_1;
highly regular layout;
gridded design rules;
pitch division;
spacer double patterning;
lines and cuts;
design source mask optimization (DSMO);
9.
Model-based OPC using the MEEF matrix Ⅱ
机译:
基于MEEF矩阵Ⅱ的基于模型的OPC
作者:
Junjiang Lei
;
Le Hong
;
George Lippincott
;
James Word
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
matrix OPC;
MEEF;
cross MEEF;
10.
Hybrid Lithography for Triple Patterning Decomposition and E-Beam Lithography
机译:
用于三重图案分解和电子束光刻的混合光刻
作者:
Haitong Tian
;
Hongbo Zhang
;
Zigang Xiao
;
Martin D.F. Wong
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Triple Patterning Lithography;
E-Beam;
Hybrid Lithography;
11.
Pattern Fidelity in Multiple-Patterning Process
机译:
多重图案处理中的图案保真度
作者:
Masatoshi Yamato
;
Sakurako Natori
;
Shohei Yamauchi
;
Arisa Hara
;
Kenichi Oyama
;
Hidetami Yaegashi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Double patterning;
SADP;
SAQP;
SAMP;
Self-aligned DP;
pattern fidelity;
photoresist smoothing;
line-edge roughness;
LER;
LWR;
12.
Efficient Source Polarization Optimization for Robust Optical Lithography
机译:
鲁棒光学光刻的有效光源偏振优化
作者:
Xu Ma
;
Jie Gao
;
Chunying Han
;
Yanqiu Li
;
Lisong Dong
;
Lihui Liu
会议名称:
《》
|
2014年
关键词:
Optical lithography;
source optimization;
polarization optimization;
partially coherent imaging;
process variation;
process window;
vector imaging model;
13.
Analysis of overlay errors induced by exposure energy in negative tone development process for photolithography
机译:
负像显影过程中曝光能量引起的覆盖误差分析
作者:
Young Ha Kim
;
Jang-Sun Kim
;
Young-Hoon Kim
;
Byeong-Ok Cho
;
Jinphil Choi
;
Young Seog Kang
;
Hunhwan Ha
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
overlay;
wafer heating;
negative tone development;
scanning direction dependency;
14.
Resist Profile Simulation with Fast Lithography Model
机译:
快速光刻模型的抗蚀剂轮廓模拟
作者:
Yan-Ying He
;
Chih-Shiang Chou
;
Yu-Po Tang
;
Wen-Chun Huang
;
Ru-Gun Liu
;
Tsai-Sheng Gau
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
RET;
OPC;
resist profile;
resist modeling;
3D modeling;
15.
Fast detection of novel problematic patterns based on dictionary learning and prediction of their lithographic difficulty
机译:
基于字典学习和光刻难度预测的新问题模式快速检测
作者:
F. de Morsier
;
D. DeMaris
;
M. Gabrani
;
N. Casati
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Large scale pattern selection and sampling;
hotspots;
failure estimation;
lithographic difficulty;
16.
Characterization of 1D Layout Technology at Advanced Nodes and Low k1
机译:
在高级节点和低k1处的一维布局技术的特性
作者:
V. Axelrad
;
K. Mikami
;
M. Smayling
;
K. Tsujita
;
H. Yaegashi
会议名称:
《Optical microlithography XXVII》
|
2014年
17.
Rapid, accurate improvement in 3D mask representation via input geometry optimization and crosstalk
机译:
通过输入几何优化和串扰,快速,准确地改善3D蒙版表示
作者:
David Fryer
;
Michael Lam
;
Kostas Adam
;
Chris Clifford
;
Mike Oliver
;
Christian Zuniga
;
John Sturtevant
;
ChangAn Wang
;
Scott Mansfield
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
DDM;
mask geometry;
near field;
crosstalk;
absorber sidewall angle;
mask bias;
18.
Impact of Topographic Mask Models on Scanner Matching Solutions
机译:
地形遮罩模型对扫描仪匹配解决方案的影响
作者:
Jacek. K. Tyminski
;
Jan Pomplun
;
Stephen P. Renwick
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Computational Lithography;
Optical Proximity Effects;
Topographic Mask Models;
19.
Computational Lithography Platform For 193i-guided Directed Self-Assembly
机译:
用于193i制导的自组装的计算光刻平台
作者:
Kafai Lai
;
Melih Ozlem
;
Jed Pitera
;
Chi-chun Liu
;
Anthony Schepis
;
Daniel Dechene
;
Azalia Krasnoperova
;
Daniel Brue
;
Jassem Abdallah
;
Hsinyu Tsai
;
Mike Guillorn
;
Joy Cheng
;
Gregory Doerk
;
Melia Tjio
;
Rasit Topalogu
;
Moutaz Fahkry
;
Neal Lafferty
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Optical Lithography;
Computational Lithography;
Directed Self Assembly;
Optical Proximity Correction;
Optical extension;
Mask Decomposition;
DSA model;
compact model. Source Mask Optimization;
Lithography Optimization;
20.
Rigorous simulation and optimization of the lithography/directed self-assembly co-process
机译:
光刻/定向自组装协同工艺的严格模拟和优化
作者:
Tim Fuehner
;
Ulrich Welling
;
Marcus Mueller
;
Andreas Erdmann
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
lithography simulation;
directed self-assembly of block copolymers;
coarse-grained polymer model;
Monte-Carlo simulation;
21.
Extremely-long life and low-cost 193nm excimer laser chamber technology for 450mm wafer multi-patterning lithography
机译:
超长寿命和低成本的193nm准分子激光腔技术,可用于450mm晶圆多图案光刻
作者:
Hiroaki Tsushima
;
Hisakazu Katsuumi
;
Hiroyuki Ikeda
;
Takeshi Asayama
;
Takahito Kumazaki
;
Akihiko Kurosu
;
Takeshi Ohta
;
Kouji Kakizaki
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
193nm ArF excimer lasers;
extend the life time of the laser chamber;
reduce electricity consumption;
long life;
total operating cost;
22.
Improvements in Bandwidth Wavelength Control for XLR 660xi Systems
机译:
XLR 660 xi系统的带宽和波长控制方面的改进
作者:
Will Conley
;
Hoang Dao
;
David Dunlap
;
Ronnie Flores
;
Matt Lake
;
Kevin OBrien
;
Alicia Russin
;
Aleks Simic
;
Joshua Thornes
;
Brian Wehrung
;
John Wyman
会议名称:
《Optical microlithography XXVII》
|
2014年
23.
In situ aberration measurement method using a phase-shift ring mask
机译:
使用相移环掩模的原位像差测量方法
作者:
Xiangzhao Wang
;
Sikun Li
;
JishuoYang
;
Feng Tang
;
Guanyong Yan
;
Andreas Erdmann
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Microlithography;
aberration measurement;
phase-shift ring;
principal component analysis;
aerial image;
24.
Process window enhancement using advanced RET techniques for 20nm contact layer
机译:
使用先进的RET技术增强20nm接触层的工艺窗口
作者:
Yang Ping
;
Sarah McGowan
;
Ying Gong
;
Yee Mei Foong
;
Jian Liu
;
Jianhong Qiu
;
Vincent Shu
;
Bo Yan
;
Jun Ye
;
Pengcheng Li
;
Hui Zhou
;
Taksh Pandey
;
Jiao Liang
;
Chris Aquino
;
Stanislas Baron
;
Sanjay Kapasi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Optical Proximity Correction (OPC);
Source Mask Optimization (SMO);
Model Based Sub Resolution Assist Feature (MB-SRAF);
low-k1 lithography;
25.
Understanding the Critical Challenges of Self-Aligned Octuple Patterning
机译:
了解自对准八重图案的关键挑战
作者:
Ji Yu
;
Wei Xiao
;
Weiling Kang
;
Yijian Chen
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Self-aligned octuple patterning (SAOP);
CDU;
MTT;
layout decomposition;
26.
Dual Photoresist Complimentary Lithography Technique Produces Sub-micro Patterns on Sapphire Substrates
机译:
双光刻胶免费光刻技术在蓝宝石衬底上产生亚微图案
作者:
Chun-Ming Chang
;
Shih-Feng Tseng
;
Chao-Te Lee
;
Wen-Tse Hsiao
;
Jer-Liang Andrew Yeh
;
Donyau Chiang
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Dual photoresist complimentary lithography;
inorganic oxide photoresist;
patterned sapphire substrate;
direct laser writing;
sub-micrometer pattern;
27.
UV-LED exposure system for low-cost photolithography
机译:
用于低成本光刻的UV-LED曝光系统
作者:
Murat Kaya Yapici
;
Ilyas Farhat
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
UV-LED lithography;
low-cost lithography;
light-emitting-diode;
maskless lithography;
UV-LED exposure dose control;
MEMS;
microfluidics;
microfabrication;
28.
Model Based Pattern Dummy Generation for Logic Devices
机译:
逻辑设备的基于模型的模式虚拟生成
作者:
Jongwon Jang
;
Cheolkyun Kim
;
Sungwoo Ko
;
Seokyoung Byun
;
Hyunjo Yang
;
Donggyu Yim
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Pattern Dummy;
Model Based SRAF;
Process Window;
Logic Devices;
29.
Study of the Pattern Aware OPC
机译:
模式感知OPC的研究
作者:
Shin-Shing Yeh
;
Alan Zhu
;
James Chen
;
Bayram Yenikaya
;
Yi-Shiang Chang
;
Chia-Chi Lin
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
pattern aware;
PWOPC;
30.
Effect of mask 3D and scanner focus difference on OPC modeling and verification
机译:
遮罩3D和扫描仪焦点差异对OPC建模和验证的影响
作者:
Guoxiang Ning
;
Jacky Cheng
;
Sergey Kropinov
;
Lloyd C. Litt
;
Dongqing Zhang
;
Paul Ackmann
;
Yee Mei Foong
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
optical proximity correction;
OPC model;
focus difference;
Mask 3D;
31.
Fast integral rigorous modeling applied to wafer topography effect prediction on 2x nm bulk technologies
机译:
快速积分严格建模应用于基于2x nm批量技术的晶圆形貌效果预测
作者:
J-C Michel
;
J-C Le Denmat
;
A. Tishchenko
;
Y. Jourlin
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
wafer topography;
optical lithography;
ionic implantation;
underlying layer;
rigorous simulation;
32.
A stochastic approach to SRAF printing prediction
机译:
SRAF打印预测的随机方法
作者:
Andrey Lutich
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
SRAF;
process variation;
OPC verification;
ORC;
LMC;
SPA;
33.
Improving On-Wafer CD Correlation Analysis Using Advanced Diagnostics and Across-Wafer Light-Source Monitoring
机译:
使用高级诊断程序和跨晶片光源监控来改善晶片上CD相关性分析
作者:
Paolo Alagna
;
Omar Zurita
;
Greg Rechtsteiner
;
Ivan Lalovic
;
Joost Bekaert
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Excimer Laser;
Bandwidth;
Wavelength;
Energy;
Proximity Control;
Optical Lithography;
Focus;
Dose;
Resolution;
34.
Study on abnormal Intra-field CD uniformity induced by Efese-tilt application upon complex leveling scheme
机译:
Efese-tilt在复杂的水准方案下引起的场内CD均匀性异常研究
作者:
Guogui Deng
;
Jingan Hao
;
Boxiu Cai
;
Bin Xing
;
Xin Yao
;
Qiang Zhang
;
Tianhui Li
;
Yi-Shih Lin
;
Qiang Wu
;
Xuelong Shi
会议名称:
《Optical microlithography XXVII》
|
2014年
35.
Effective simulation for robust inverse lithography using convolution-variation separation method
机译:
使用卷积-变量分离法对鲁棒反光刻进行有效仿真
作者:
Wen Lv
;
Shiyuan Liu
;
Xinjiang Zhou
;
Haiqing Wei
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
optical lithography;
robust inverse lithography;
process variations;
distribution of process variations;
convolution-variation separation (CVS) method;
36.
Technology for Monitoring Shot-Level Light Source Performance Data to Achieve High Optimization of Lithography Processes
机译:
监控镜头级光源性能数据以实现光刻工艺高度优化的技术
作者:
Masato Moriya
;
Hideyuki Ochiai
;
Yoshinobu Watabe
;
Keisuke Ishida
;
Hiroyuki Masuda
;
Youichi Sasaki
;
Takahito Kumazaki
;
Akihiko Kurosu
;
Takeshi Ohta
;
Kouji Kakizaki
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
ArF excimer laser;
193nm lithography;
FDC;
monitoring system;
beam performance metrology;
37.
Novel wafer stepper with violet LED light source
机译:
带有紫罗兰色LED光源的新型晶圆步进机
作者:
Yung-Chiang Ting
;
Shyi-Long Shy
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
contact / proximity wafer stepper;
contact or proximity printing;
violet LED light source;
38.
Glass ceramic ZERODUR~® enabling nanometer precision
机译:
玻璃陶瓷ZERODUR〜®可实现纳米精度
作者:
Ralf Jedamzik
;
Clemens Kunisch
;
Johannes Nieder
;
Thomas Westerhoff
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
ZERODUR~®;
extremely low thermal expansion glass ceramic;
coefficient of thermal expansion homogeneity;
high precision positioning;
nanometer precision;
dimensional stability;
39.
Introduction
机译:
介绍
作者:
Kafai Lai
;
Andreas Erdmann
会议名称:
《Optical microlithography XXVII》
|
2014年
40.
The impact of Mask 3D and Resist 3D effects in optical lithography
机译:
掩模3D和抗蚀剂3D效果对光刻的影响
作者:
Jo Finders
会议名称:
《Optical microlithography XXVII》
|
2014年
41.
Advanced OPC Mask-3D and Resist-3D modeling
机译:
先进的OPC Mask-3D和Resist-3D建模
作者:
A.Szucs
;
J.Planchot
;
V.Farys
;
E.Yesilada
;
L.Depre
;
S. Kapasi
;
C.Gourgon
;
M.Besacier
;
O. Mouraille
;
F. Driessen
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Mask-3D;
Resist-3D;
Profile sensitivity to dose;
Resist profile;
42.
Scanner performance predictor and optimizer in further low k1 lithography
机译:
低k1光刻中的扫描仪性能预测器和优化器
作者:
Hajime Aoyama
;
Toshiharu Nakashima
;
Taro Ogata
;
Shintaro Kudo
;
Naonori Kita
;
Junji Ikeda
;
Ryota Matsui
;
Hajime Yamamoto
;
Ayako Sukegawa
;
Katsushi Makino
;
Masayuki Murayama
;
Kazuo Masaki
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Application software;
low k1 lithography;
OPE;
thermal aberration;
source optimization;
immersion extension;
reticle expansion;
rigorous simulation;
43.
Imaging control functions of optical scanners
机译:
光学扫描仪的成像控制功能
作者:
Hisashi Nishinaga
;
Toru Hirayama
;
Daiyu Fujii
;
Hajime Yamamoto
;
Hiroshi Irihama
;
Taro Ogata
;
Yukio Koizumi
;
Kenta Suzuki
;
Yohei Fujishima
;
Tomoyuki Matsuyama
;
Ryoichi Kawaguchi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Overlay;
focus control;
thermal aberration;
lens control;
reticle expansion;
immersion extension;
44.
Wafer Sub-Layer Impact In OPC/ORC Models For Advanced Node Implant Layers
机译:
OPC / ORC模型中的晶圆子层对高级节点植入层的影响
作者:
Jean-Christophe Le-Denmat
;
Jean-Christophe Michel
;
Elodie Sungauer
;
Emek Yesilada
;
Frederic Robert
;
Song Lan
;
Mu Feng
;
Lei Wang
;
Laurent Depre
;
Sanjay Kapasi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
stack effect;
wafer topography;
optical lithography;
mask verification;
ionic implantation;
45.
Lithographic process window optimization for mask aligner proximity lithography
机译:
掩模对准仪光刻的光刻工艺窗口优化
作者:
Reinhard Voelkel
;
Uwe Vogler
;
Arianna Bramati
;
Andreas Erdmann
;
Nezih UEnal
;
Ulrich Hofmann
;
Marc Hennemeyer
;
Ralph Zoberbier
;
David Nguyen
;
Juergen Brugger
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
mask aligner;
proximity lithography;
customized illumination;
source-mask optimization;
process window optimization;
lithography simulation;
advanced mask aligner lithography;
AMALITH;
46.
Built-in Lens Mask Lithography
机译:
内置镜头罩光刻
作者:
Naoki Ueda
;
Masaru Sasago
;
Akio Misaka
;
Hisao Kikuta
;
Hiroaki Kawata
;
Yoshihiko Hirai
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
proximity lithography;
complex amplitude;
mask;
phase;
47.
Shot overlap model-based fracturing for edge-based OPC layouts
机译:
基于镜头重叠模型的压裂,用于基于边缘的OPC布局
作者:
Shangliang Jiang
;
Avideh Zakhor
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Model-based fracturing;
mask data preparation;
Variable Shaped Beam mask writing;
48.
Immersion lithography extension to sub-10 nm nodes with multiple patterning
机译:
使用多种图案将浸没式光刻扩展至亚10纳米以下的节点
作者:
Soichi Owa
;
Shinji Wakamoto
;
Masayuki Murayama
;
Hidetami Yaegashi
;
Kenichi Oyama
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Immersion lithography;
extension;
multiple patterning;
unidirectional;
grating;
cost;
SAQP;
SAOP;
49.
Metall patterning study for random-logic applications with 193i, using calibrated OPC for Litho and Etch
机译:
使用经校准的用于光刻和蚀刻的OPC的193i在随机逻辑应用中进行金属图案研究
作者:
Julien Mailfert
;
Jeroen Van de Kerkhove
;
Peter De Bisschop
;
Kristin De Meyer
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
after-etch printability;
PW performance;
PPC;
R3D;
M3D;
assist placement;
Logic application;
193nm immersion lithography;
50.
Joint optimization of source, mask, and pupil in optical lithography
机译:
光刻中源,掩模和光瞳的联合优化
作者:
Jia Li
;
Edmund Y. Lam
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Mask topography effects;
spherical aberration;
source mask optimization;
usable depth of focus;
computational lithography;
51.
Characterization and mitigation of overlay error on silicon wafers with nonuniform stress
机译:
应力不均匀的硅晶片上重叠误差的表征和缓解
作者:
T. Brunner
;
V. Menon
;
C. Wong
;
N. Felix
;
M. Pike
;
O. Gluschenkov
;
M. Belyansky
;
P. Vukkadala
;
S. Veeraraghavan
;
S. Klein
;
C.H. Hoo
;
J. Sinha
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Lithography;
overlay error;
wafer geometry;
non-uniform stress;
process-induced wafer distortion;
in-plane distortion;
52.
Hybrid OPC modeling with SEM contour technique for 10 nm node process
机译:
具有SEM轮廓技术的10节点制程混合OPC建模
作者:
Keiichiro Hitomi
;
Scott Halle
;
Marshal Miller
;
Ioana Graur
;
Nicole Saulnier
;
Derren Dunn
;
Nobuhiro Okai
;
Shoji Hotta
;
Atsuko Yamaguchi
;
Hitoshi Komuro
;
Toru Ishimoto
;
Shunsuke Koshihara
;
Yutaka Hojo
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Hybrid OPC modeling;
CD-SEM;
contour;
shrink correction;
53.
Improving 3D Resist Profile Compact Modeling By Exploiting 3D Resist Physical Mechanisms
机译:
通过利用3D抵抗物理机制来改善3D抵抗轮廓紧凑建模
作者:
Yongfa Fan
;
Cheng-En Rich Wu
;
Qian Ren
;
Hua Song
;
Thomas Schmoeller
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Compact 3D resist model;
OPC;
verification;
modeling;
3D profile;
etching;
ILT;
54.
Full Chip Model Based OPC Verification by using Rigorous Resist 3D Model
机译:
使用严格抗性3D模型进行基于全芯片模型的OPC验证
作者:
Dongho Kong
;
Taejun You
;
Cheolkyun Kim
;
Hyunjo Yang
;
Donggyu Yim
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Model based verification with 2-level model;
Resist 3D profile;
55.
Combining Lithography and Etch Models in OPC Modeling
机译:
在OPC建模中将光刻和蚀刻模型相结合
作者:
Lena Zavyalova
;
Lan Luan
;
Hua Song
;
Thomas Schmoeller
;
James Shiely
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC modeling;
process proximity correction;
etch correction;
integrated lithography and etch simulation;
56.
Bringing SEM contour based OPC to production
机译:
将基于SEM轮廓的OPC投入生产
作者:
Francois Weisbuch
;
Kar Kit Koh
;
Kenneth Jantzen
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
model;
calibration;
verification;
SEM contour;
process window;
feature coverage;
image parameter space;
matching;
57.
Resist Toploss Modeling for OPC Applications
机译:
针对OPC应用的抗Toploss建模
作者:
Christian Zuniga
;
Yunfei Deng
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Resist;
Toploss;
SRAF;
CM1;
OPC;
simulation;
58.
Pattern environment impact on wafer of metal layers with high NA process on advanced node
机译:
图案环境对高级节点上具有高NA工艺的金属层晶圆的影响
作者:
Chain Ting Huang
;
Yung Feng Cheng
;
Ming Jui Chen
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
pattern environment;
pattern density;
high NA;
anti-assistant feature;
59.
Hybrid Inverse Lithography Techniques for Advanced Hierarchical Memories
机译:
用于高级分层存储的混合反光刻技术
作者:
Guangming Xiao
;
Kevin Hooker
;
Dave Irby
;
Yunqiang Zhang
;
Brian Ward
;
Tom Cecil
;
Brett Hall
;
Mindy Lee
;
Dave Kim
;
Kevin Lucas
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Inverse lithography (ILT);
memory patterning;
193nm lithography;
OPC;
RET;
60.
A Temperature Control Algorithm of Immersion Liquid for Immersion Lithography
机译:
用于浸没光刻的浸没液体的温度控制算法
作者:
Junwei He
;
Xiaoping Li
;
Min Lei
;
Bing Chen
;
Jinchun Wang
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
immersion lithography;
temperature control;
cascade-connected fuzzy PID algorithm;
61.
Illumination system without scanning slit for lithographic tools
机译:
无需扫描狭缝的照明系统,用于光刻工具
作者:
Yunbo Zhang
;
Aijun Zeng
;
Ying Wang
;
Mingxing Chen
;
Shanhua Zhang
;
Qiao Yuan
;
Huijie Huang
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
193nm lithographic illumination;
micromirror array;
fast scanning mirror;
aperture array;
62.
Advanced Excimer Laser Technologies Enable Green Semiconductor Manufacturing
机译:
先进的准分子激光技术助力绿色半导体制造
作者:
Hitomi Fukuda
;
Youngsun Yoo
;
Yuji Minegishi
;
Naoto Hisanaga
;
Tatsuo Enami
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Lithography;
Green;
Electricity;
Neon gas;
Helium gas;
Excimer laser;
ArF immersion;
Injection-Lock system;
eTGM;
Hybrid laser;
63.
Flexible Power 90W to 120W ArF Immersion Light Source for Future Semiconductor Lithography
机译:
用于未来半导体光刻的90W至120W ArF柔性电源浸入式光源
作者:
R. Burdt
;
T. Duffey
;
J. Thornes
;
T. Bibby
;
R. Rokitski
;
E. Mason
;
J. Melchior
;
T. Aggarwal
;
D. Haran
;
J. Wang
;
G. Rechtsteiner
;
M. Haviland
;
D. Brown
会议名称:
《Optical microlithography XXVII》
|
2014年
64.
Modeling the lithography of ion implantation resists on topography
机译:
在形貌上对离子注入抗蚀剂的光刻进行建模
作者:
Gustaf Winroth
;
Alessandro Vaglio Pret
;
Monique Ercken
;
Stewart A. Robertson
;
John J. Biafore
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Ion implantation;
193 nm lithography;
resists;
modeling;
65.
Pattern Based Full-Chip Process Verification
机译:
基于模式的全芯片工艺验证
作者:
Changsheng Ying
;
Yongjun Kwon
;
Paul Fornari
;
Goekhan Percin
;
Anwei Liu
会议名称:
《》
|
2014年
关键词:
OPC verification;
process and proximity correction;
verification;
pattern match;
defect disposition;
66.
Availability study of CFD-based Mask3D simulation method for next generation lithography technologies
机译:
基于CFD的Mask3D仿真方法在下一代光刻技术中的可用性研究
作者:
M. Takahashi
;
Y. Kawabata
;
Y. Washitani
;
S. Tanaka
;
S. Maeda
;
S. Mimotogi
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Simulation;
mask topography;
best focus shift;
electromagnetic field;
non-uniform mesh;
MoC;
CIP;
67.
Fixing the Focus Shift Caused by 3D Mask Diffraction
机译:
修复3D蒙版衍射引起的焦点偏移
作者:
Bayram Yenikaya
;
Constantin Chuyeshov
;
Onur Bakir
;
Youngae Han
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Lithography;
OPC;
Resolution enhancement techniques (RET);
3D mask effects;
scattering;
diffraction;
focus shift;
boundary layer;
DOF;
68.
Applying ILT mask synthesis for co-optimizing design rules and DSA process characteristics
机译:
应用ILT掩模合成来共同优化设计规则和DSA工艺特性
作者:
Thuc Dam
;
William Stanton
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
ILT;
Inverse Lithography;
DSA;
SMO;
Source-mask optimization;
OPC;
MRC;
Design Rule Development;
69.
Critical Assessment of the Transport of Intensity Equation as a phase recovery technique in optical lithography
机译:
强度方程输运的临界评估,作为光刻中的相恢复技术
作者:
Aamod Shanker
;
Martin Sczyrba
;
Brid Connolly
;
Franklin Kalk
;
Andy Neureuther
;
Laura Waller
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Opaque MoSi on Glass (OMOG);
Attenuated Phase Shift Mask;
Transport of Intensity Equation;
Aerial Image Measurement System (AIMS);
thick mask edge effects;
phase imaging;
70.
Immersion Scanners Enabling 10 nm half pitch Production and Beyond
机译:
浸没式扫描仪可实现10 nm半间距及以上
作者:
Hiroyuki Egashira
;
Yusaku Uehara
;
Yosuke Shirata
;
Yuichi Shibazaki
;
Jun Ishikawa
;
Takayuki Funatsu
;
Masanori Ohba
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Immersion lithography;
multiple patterning;
overlay;
CD uniformity;
distortion;
thermal aberration;
productivity;
71.
Estimation of 1D Proximity Budget Impacts due to Light Source for Advanced Node Design
机译:
估算光源对高级节点设计的一维接近度预算的影响
作者:
RC Peng
;
Tony Wu
;
HH Liu
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Proximity budget control;
laser spectrum;
photolithography simulation;
72.
A defocus measurement method for an in situ aberration measurement method using a phase-shift ring mask
机译:
使用相移环掩模进行原位像差测量方法的散焦测量方法
作者:
Sikun Li
;
Xiangzhao Wang
;
JishuoYang
;
Feng Tang
;
Guanyong Yan
;
Andreas Erdmann
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Microlithography;
aberration measurement;
phase-shift ring;
defocus;
aerial image;
73.
Alternative method for variable aspect ratio vias using a vortex mask
机译:
使用涡旋掩模的可变长宽比通孔的替代方法
作者:
Anthony R. Schepis
;
Zac Levinson
;
Andrew Burbine
;
Bruce W. Smith
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
vortex mask;
contact;
via;
elliptical;
aspect ratio;
lithography;
74.
Mitigating Mask Roughness via Pupil Filtering
机译:
通过瞳孔过滤缓解面膜粗糙度
作者:
B. Baylav
;
C. Maloney
;
Z. Levinson
;
J. Bekaert
;
A. Vaglio Pret
;
B. Smith
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
Mask roughness;
line edge roughness;
line width roughness;
pupil filtering;
roughness mitigation;
75.
A Generalized Edge-Placement Yield Model for the Cut-Hole Patterning Process
机译:
切孔图案化过程的通用边缘放置产量模型
作者:
Pan Zhang
;
Chuyang Hong
;
Yijian Chen
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
complementary lithography;
overlay;
cut hole;
edge-placement yield;
probability of failure (POF);
76.
TCO less Dye-Sensitized Solar Cell Lithographic Methods for injecting the Electrolyte
机译:
注入电解质的TCO少染料敏化太阳能电池平版印刷方法
作者:
Hyun Chul Ki
;
Hyang Yoon Jung
;
Seon Hoon Kim
;
Doo-Gun Kim
;
Tae-Un Kim
;
Hwe Jong Kim
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
TCO less;
Dye-sensitized solar cells;
Electrolyte injecting;
77.
Micro-Optics: Enabling Technology for Illumination Shaping in Optical Lithography
机译:
微光学:光学光刻中的照明整形技术
作者:
Reinhard Voelkel
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
optical lithography;
photolithography;
pupil shaping;
customized illumination;
light shaping;
micro-optics;
microlens arrays;
diffractive optical elements;
DOE;
ROE;
micro-mirror array;
MEMS mirrors;
78.
Adaptive OPC Approach Based on Pattern Grouping Algorithm
机译:
基于模式分组算法的自适应OPC方法
作者:
C.M. Hu
;
C.T. Hsuan
;
H.Y. Hsieh
;
Fred Lo
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Optical microlithography XXVII》
|
2014年
关键词:
OPC;
pattern grouping algorithm;
concern index;
意见反馈
回到顶部
回到首页