首页> 外文期刊>Solid state technology >Applications of spin-on hybrid BARCs for FEOL and BEOL integration
【24h】

Applications of spin-on hybrid BARCs for FEOL and BEOL integration

机译:旋转混合式BARC在FEOL和BEOL集成中的应用

获取原文
获取原文并翻译 | 示例
           

摘要

Bottom antireflective coatings (BARC) enable semiconductor manufacturers to control substrate reflectivity, improve critical dimension (CD) patterning, and increase depth of focus in high-resolution photolithography. Organic BARC films are generally spin-on polymeric materials, while inorganic BARCs are applied with chemical vapor deposition (CVD). Both types of BARCs present challenges as feature sizes shrink and etching becomes more difficult due to very thin ArF resist layers in 193nm photolithography processes. A new class of spin-on hybrid BARC combines the properties of CVD and spin-on materials for tuned etch selectivity due to inorganic functionality, but also exhibits the reflectivity-controlling properties of organic BARC films.
机译:底部抗反射涂层(BARC)使半导体制造商能够控制衬底的反射率,改善临界尺寸(CD)的图案,并增加高分辨率光刻的聚焦深度。有机BARC膜通常是旋涂聚合材料,而无机BARC则通过化学气相沉积(CVD)进行涂覆。两种类型的BARC都面临挑战,因为部件尺寸缩小,并且由于193nm光刻工艺中的ArF抗蚀剂层非常薄,蚀刻变得更加困难。新型的旋涂式混合BARC结合了CVD和旋涂材料的特性,由于无机功能而可调节蚀刻选择性,而且还具有控制有机BARC膜的反射率的特性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号