...
首页> 外文期刊>IEEE Journal of Solid-State Circuits >A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory
【24h】

A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory

机译:28纳米可配置存储器(TCAM / BCAM / SRAM),使用推式6T位单元使能逻辑在存储器中

获取原文
获取原文并翻译 | 示例
           

摘要

Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2–5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical function capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.
机译:传统的内容可寻址存储器(BCAM和TCAM)使用专门的10T / 16T位单元,该位单元明显大于6T SRAM单元。提出了一种新的BCAM / TCAM,它可以与标准的推入式6T SRAM单元一起使用,将阵列面积减小2-5倍,并允许将SRAM重新配置为CAM。这样,可以减小芯片面积和总电容,从而提高搜索操作的能效。另外,可配置存储器可以对存储在阵列中的两个或多个字执行按位逻辑运算:“ AND”和“ NOR”。因此,具有CAM和逻辑功能功能的可配置内存可用于将特定的计算操作卸载到内存,从而提高系统性能和效率。使用6T 28纳米FDSOI SRAM位单元,64×64(4 kb)BCAM在1 V时可达到370 MHz,每位/搜索消耗0.6 fJ。两个64位字之间的逻辑运算在1 V时达到787 MHz。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号