首页> 外文期刊>Computers >ASIR: Application-Specific Instruction-Set Router for NoC-Based MPSoCs
【24h】

ASIR: Application-Specific Instruction-Set Router for NoC-Based MPSoCs

机译:ASIR:基于NoC的MPSoC的专用指令集路由器

获取原文
           

摘要

The end of Dennard scaling led to the use of heterogeneous multi-processor systems-on-chip (MPSoCs). Heterogeneous MPSoCs provide a high efficiency in terms of energy and performance due to the fact that each processing element can be optimized for an application task. However, the evolution of MPSoCs shows a growing number of processing elements (PEs), which leads to tremendous communication costs, tending to become the performance bottleneck. Networks-on-chip (NoCs) are a promising and scalable intra-chip communication technology for MPSoCs. However, these technological advances require novel and effective programming methodologies to efficiently exploit them. This work presents a novel router architecture called application-specific instruction-set router (ASIR) for field-programmable-gate-arrays (FPGA)-based MPSoCs. It combines data transfers with application-specific processing by adding high-level synthesized processing units to routers of the NoC. The execution of application-specific operations during data exchange between PEs exploits efficiently the transmission time. Furthermore, the processing units can be programmed in C/C++ using high-level synthesis, and accordingly, they can be specifically optimized for an application. This approach enables transferred data to be processed by a processing element, such as a MicroBlaze processor, before the transmission or by a router during the transmission. Moreover, a static mapping algorithm for applications modeled by a Kahn process network-based graph is introduced that maps tasks to the MicroBlaze processors and processing units. The mapping algorithm optimizes the communication cost by allocating tasks to nearest neighboring PEs. This complete methodology significantly simplifies the design and programming of ASIR-based MPSoCs. Furthermore, it efficiently exploits the heterogeneity of processing capabilities inside the routers and MicroBlaze processors.
机译:Dennard扩展的终结导致了异构多处理器片上系统(MPSoC)的使用。由于可以针对应用任务优化每个处理元素,因此异构MPSoC在能源和性能方面提供了高效率。但是,MPSoC的发展表明处理元件(PE)的数量在不断增加,这导致了巨大的通信成本,并趋于成为性能瓶颈。片上网络(NoC)是一种有前途且可扩展的MPSoC片内通信技术。但是,这些技术进步需要新颖有效的编程方法来有效利用它们。这项工作为基于现场可编程门阵列(FPGA)的MPSoC提供了一种称为专用指令集路由器(ASIR)的新颖路由器体系结构。通过向NoC的路由器添加高级综合处理单元,它将数据传输与特定于应用程序的处理相结合。 PE之间进行数据交换期间执行特定于应用程序的操作可以有效利用传输时间。此外,可以使用高级综合在C / C ++中对处理单元进行编程,因此,可以为应用程序专门优化处理单元。这种方法使传输的数据可以在传输之前由MicroBlaze处理器之类的处理元件处理,或者在传输期间由路由器处理。此外,针对通过基于Kahn流程网络的图建模的应用程序,引入了静态映射算法,该算法将任务映射到MicroBlaze处理器和处理单元。映射算法通过将任务分配给最近的相邻PE来优化通信成本。这种完整的方法论极大地简化了基于ASIR的MPSoC的设计和编程。此外,它有效地利用了路由器和MicroBlaze处理器内部处理能力的异质性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号