首页> 外文期刊>Computers & Digital Techniques, IET >Reliability-aware simultaneous multithreaded architecture using online architectural vulnerability factor estimation
【24h】

Reliability-aware simultaneous multithreaded architecture using online architectural vulnerability factor estimation

机译:使用在线体系结构脆弱性因素估计的可靠性感知同步多线程体系结构

获取原文
获取原文并翻译 | 示例
           

摘要

Miniaturisation in modern microprocessors increases susceptibility to soft errors leading to reliability degradation. Recently simultaneous multithreaded (SMT) architecture is utilised to improve fault tolerance. Despite full coverage, redundant checking in such schemes causes significant performance and energy overheads. Fortunately, some of the soft errors can be masked at the architectural level and architectural vulnerability factor (AVF) of a structure represents the portion of soft errors which lead to a failure in the output of a program. In this study, the authors present an infrastructure for online monitoring of AVF of sensitive structures of an SMT processor. Based on estimated AVF, we have introduced partial thread redundancy (PTR) protection scheme for intervals whose AVF is greater than a predefined threshold and the estimated AVF is used for adaptation between reliability improvement or performance enhancement, especially when the processor executes more than one workload. We have utilised SPEC CPU2006 benchmarks for AVF estimation of some important hardware resources such as issue queue, reorder buffer, load/store queue and register file. Experimental results show that the mean absolute error of our AVF estimation method varies from 0.04 to 0.07 and combined online AVF estimation and PTR protection, leads to a reliability aware execution and lower performance overhead.
机译:现代微处理器的小型化增加了对软错误的敏感性,从而导致可靠性下降。最近,同时多线程(SMT)架构被用来提高容错能力。尽管覆盖范围很广,但此类方案中的冗余检查会导致明显的性能和能源开销。幸运的是,某些软错误可以在体系结构级别掩盖,并且结构的体系结构易损性因子(AVF)代表了导致程序输出失败的部分软错误。在这项研究中,作者提出了一种在线监视SMT处理器敏感结构的AVF的基础结构。基于估计的AVF,我们为AVF大于预定义阈值的间隔引入了部分线程冗余(PTR)保护方案,并且估计的AVF用于可靠性提高或性能增强之间的适应,尤其是当处理器执行多个工作负载时。我们已经利用SPEC CPU2006基准来对一些重要的硬件资源进行AVF估计,例如发行队列,重新排序缓冲区,加载/存储队列和寄存器文件。实验结果表明,我们的AVF估计方法的平均绝对误差在0.04到0.07之间变化,并且结合了在线AVF估计和PTR保护,从而导致了可靠的执行和较低的性能开销。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号