首页> 中文期刊> 《电子科技》 >基于Proteus的任意进制计数器设计与仿真

基于Proteus的任意进制计数器设计与仿真

         

摘要

提出一种基于Proteus软件的任意进制计数器的设计.以74LS163集成计数器为基础,用置数法设计了两种48进制计数器,采用Proteus软件对计数器进行仿真.结果表明,Proteus软件具有实现48进制计数器的功能.仿真图像清晰,能快速准确地验证设计结果.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号