【24h】

A variable warp size architecture

机译:可变的纱大小架构

获取原文

摘要

This paper studies the effect of warp sizing and scheduling on performance and efficiency in GPUs. We propose Variable Warp Sizing (VWS) which improves the performance of divergent applications by using a small base warp size in the presence of control flow and memory divergence. When appropriate, our proposed technique groups sets of these smaller warps together by ganging their execution in the warp scheduler, improving performance and energy efficiency for regular applications. Warp ganging is necessary to prevent performance degradation on regular workloads due to memory convergence slip, which results from the inability of smaller warps to exploit the same intra-warp memory locality as larger warps. This paper explores the effect of warp sizing on control flow divergence, memory divergence, and locality. For an estimated 5% area cost, our ganged scheduling microarchitecture results in a simulated 35% performance improvement on divergent workloads by allowing smaller groups of threads to proceed independently, and eliminates the performance degradation due to memory convergence slip that is observed when convergent applications are executed with smaller warp sizes.
机译:本文研究了翘曲尺寸和调度对GPU中性能和效率的影响。我们提出了可变翘曲尺寸(VWS),通过在控制流程和内存发散情况下使用小型基础翘曲大小来提高分歧应用的性能。当适当时,我们提出的技术组通过在经线调度程序中进行执行,提高常规应用程序的性能和能源效率,将这些较小的扭曲组合在一起。由于内存融合单据,有必要防止常规工作负载的性能下降,这是由于更小的扭曲来利用与较大的扭曲相同的横泻内存局部。本文探讨了翘曲尺寸对控制流动分歧,记忆分离和局部性的影响。对于估计的5%的面积成本,我们的Ganged调度微体系结构通过允许较小的线程独立地进行分歧工作负载对不同的工作负载进行模拟的35%性能改进,并且消除了由于收敛应用当时观察到的存储器收敛滑导致的性能下降用较小的翘曲大小执行。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号