首页> 外文会议>IEEE/ACM International Symposium on Low Power Electronics and Design >Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency
【24h】

Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency

机译:探索单片3D L1 GPU缓存容量与翘曲调度效率之间的关系

获取原文

摘要

The warp scheduler plays an important role in the GPU for efficient utilization of hardware resources. However, the efficiency of the warp scheduler is often limited by the L1 cache (especially, L1 data cache) capacity; providing large capacity for an L1 cache is challenging due to the increased latency. In this paper, we adopt Monolithic 3D (M3D) technology to design a large capacity L1 cache for GPU performance enhancement, not deteriorating the latency. Our evaluation results show that the M3D L1 cache improves GPU performance by 2.18~2.24× on average, compared to the 2D conventional L1 cache.
机译:为了有效利用硬件资源,warp调度程序在GPU中扮演着重要角色。但是,warp调度程序的效率通常受L1缓存(尤其是L1数据缓存)容量的限制;由于延迟增加,为L1高速缓存提供大容量具有挑战性。在本文中,我们采用Monolithic 3D(M3D)技术来设计大容量的L1缓存,以增强GPU性能,而不会降低延迟。我们的评估结果表明,与2D常规L1缓存相比,M3D L1缓存平均将GPU性能提高了2.18〜2.24倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号