首页> 外文会议>IEEE/ACM International Conference On Computer Aided Design >A Routability-Driven Complimentary-FET (CFET) Standard Cell Synthesis Framework using SMT
【24h】

A Routability-Driven Complimentary-FET (CFET) Standard Cell Synthesis Framework using SMT

机译:使用SMT的可布线性驱动的免费FET(CFET)标准单元合成框架

获取原文

摘要

As the technology node is evolving, standard cell (SDC) design scaling is obstructed by design constraints such as limited routing resources, lateral P-N separation, and performance requirements. Complimentary-FET (CFET) technology, which stacks the P-FET on N-FET or vice versa, is able to release the restriction of P-N connection for SDC layout scaling. However, (both in-cell and block-level) routable CFET SDC design, while maintaining the scaling advantages, is a non-trivial problem because of the extremely limited routability (including pin-accessibility) comes from the intrinsic stacked FET structure. In this paper, we propose an SMT (Satisfiability Modulo theories)-based framework to automate CFET SDC synthesis through the simultaneous place-and-route optimization methodology with a novel Dynamic Complimentary Pin Allocation scheme. Moreover, our framework generates optimized CFET SDC layouts in terms of routability through our novel pin access and routing resource related objectives/constraints, while the scaling advantage of CFET structure is maintained compared to conventional FET structure. We demonstrate that CFET cell structure provides 10.1/22.2% on average reduced cell width and metal length compared to conventional FET structure. Moreover, we validate that our routability-driven features successfully improve routability in practical circuit designs through block-level analysis. Compared to the previous work, our routability-driven framework improves 4.2% utilization and reduces 83% routing errors on average in block-level designs.
机译:随着技术节点的发展,标准单元(SDC)的设计缩放会受到诸如布线资源有限,横向P-N分离和性能要求之类的设计约束的阻碍。互补FET(CFET)技术将P-FET堆叠在N-FET上,反之亦然,能够释放P-N连接对SDC布局缩放的限制。然而,(单元内和块级)可路由的CFET SDC设计在保持缩放优势的同时,仍然是一个不小的问题,因为固有的堆叠式FET结构极其有限的可布线性(包括引脚可及性)。在本文中,我们提出了一种基于SMT(可满足性模块理论)的框架,该框架可通过具有新颖的动态互补引脚分配方案的同时布局和布线优化方法来实现CFET SDC合成的自动化。此外,我们的框架通过新颖的引脚访问和与布线资源相关的目标/约束,在可布线性方面生成了优化的CFET SDC布局,同时与传统FET结构相比,保持了CFET结构的缩放优势。我们证明,与传统的FET结构相比,CFET单元结构平均减少了10.1 / 22.2%的单元宽度和金属长度。此外,我们验证了我们的可布线性驱动功能通过模块级分析成功地提高了实际电路设计中的可布线性。与以前的工作相比,我们的可路由性驱动框架在块级设计中平均提高了4.2%的利用率,并减少了83%的路由错误。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号