首页> 外文会议>ACM/IEEE Annual International Symposium on Computer Architecture >SpinalFlow: An Architecture and Dataflow Tailored for Spiking Neural Networks
【24h】

SpinalFlow: An Architecture and Dataflow Tailored for Spiking Neural Networks

机译:SpinalFlow:专为掺入神经网络而设计的架构和数据流

获取原文

摘要

Spiking neural networks (SNNs) are expected to be part of the future AI portfolio, with heavy investment from industry and government, e.g., IBM TrueNorth, Intel Loihi. While Artificial Neural Network (ANN) architectures have taken large strides, few works have targeted SNN hardware efficiency. Our analysis of SNN baselines shows that at modest spike rates, SNN implementations exhibit significantly lower efficiency than accelerators for ANNs. This is primarily because SNN dataflows must consider neuron potentials for several ticks, introducing a new data structure and a new dimension to the reuse pattern. We introduce a novel SNN architecture, SpinalFlow, that processes a compressed, time-stamped, sorted sequence of input spikes. It adopts an ordering of computations such that the outputs of a network layer are also compressed, time-stamped, and sorted. All relevant computations for a neuron are performed in consecutive steps to eliminate neuron potential storage overheads. Thus, with better data reuse, we advance the energy efficiency of SNN accelerators by an order of magnitude. Even though the temporal aspect in SNNs prevents the exploitation of some reuse patterns that are more easily exploited in ANNs, at 4-bit input resolution and 90% input sparsity, SpinalFlow reduces average energy by $1.8 imes$, compared to a 4-bit Eyeriss baseline. These improvements are seen for a range of networks and sparsity/resolution levels; SpinalFlow consumes $5 imes$ less energy and $5.4 imes$ less time than an 8-bit version of Eyeriss. We thus show that, depending on the level of observed sparsity, SNN architectures can be competitive with ANN architectures in terms of latency and energy for inference, thus lowering the barrier for practical deployment in scenarios demanding real-time learning.
机译:尖峰神经网络(SNN)预计将成为未来AI产品组合的一部分,行业和政府(例如IBM TrueNorth,Intel Loihi)投入了大量资金。尽管人工神经网络(ANN)架构取得了长足进步,但针对SNN硬件效率的工作却很少。我们对SNN基线的分析表明,在适度的尖峰速率下,SNN的实现效率要比ANN的加速器低得多。这主要是因为SNN数据流必须考虑多个滴答声的神经元电势,从而为重用模式引入了新的数据结构和新的维度。我们介绍了一种新颖的SNN架构SpinalFlow,该架构可处理压缩的,带时间戳的,排序的输入峰值序列。它采用了计算的顺序,以便对网络层的输出也进行压缩,加时间戳和分类。神经元的所有相关计算都在连续的步骤中执行,以消除神经元潜在的存储开销。因此,通过更好的数据重用,我们将SNN加速器的能源效率提高了一个数量级。即使SNN中的时间方面阻止了某些在ANN中更容易利用的重用模式的利用,在4位输入分辨率和90%输入稀疏度的情况下,与4位相比,SpinalFlow的平均能量降低了$ 1.8 \ times $。眼球基线。对于一系列网络和稀疏性/分辨率级别,可以看到这些改进;与8位版本的Eyeriss相比,SpinalFlow的能耗减少了5美元×5.4美元×5次。因此,我们表明,根据观察到的稀疏程度,SNN架构在延迟和推理能力方面可以与ANN架构竞争,从而降低了在需要实时学习的情况下实际部署的障碍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号