首页> 外文会议>High Performance Computer Architecture, 2009. HPCA 2009 >Voltage emergency prediction: Using signatures to reduce operating margins
【24h】

Voltage emergency prediction: Using signatures to reduce operating margins

机译:电压应急预测:使用签名来减少操作余量

获取原文

摘要

Inductive noise forces microprocessor designers to sacrifice performance in order to ensure correct and reliable operation of their designs. The possibility of wide fluctuations in supply voltage means that timing margins throughout the processor must be set pessimistically to protect against worst-case droops and surges. While sensor-based reactive schemes have been proposed to deal with voltage noise, inherent sensor delays limit their effectiveness. Instead, this paper describes a voltage emergency predictor that learns the signatures of voltage emergencies (the combinations of control flow and microarchitectural events leading up to them) and uses these signatures to prevent recurrence of the corresponding emergencies. In simulations of a representative superscalar microprocessor in which fluctuations beyond 4% of nominal voltage are treated as emergencies (an aggressive configuration), these signatures can pinpoint the likelihood of an emergency some 16 cycles ahead of time with 90% accuracy. This lead time allows machines to operate with much tighter voltage margins (4% instead of 13%) and up to 13.5% higher performance, which closely approaches the 14.2% performance improvement possible with an ideal oracle-based predictor.
机译:感应噪声迫使微处理器设计者牺牲性能,以确保其设计正确且可靠地运行。电源电压可能会出现较大范围的波动,这意味着必须悲观地设置整个处理器的时序裕度,以防止最坏情况下垂和浪涌。虽然已经提出了基于传感器的电抗方案来应对电压噪声,但是固有的传感器延迟限制了其有效性。取而代之的是,本文描述了一种电压应急预测器,该预测器学习电压紧急事件的特征(控制流和导致它们的微体系结构事件的组合),并使用这些特征来防止相应的紧急事件再次发生。在对具有代表性的超标量微处理器的仿真中,将超过标称电压4%的波动视为紧急情况(激进配置),这些信号可以提前90个准确度来确定发生紧急情况的可能性约16个周期。该提前期使机器可以在更严格的电压裕度下运行(从4%而不是13%),并提高了高达13.5%的性能,这接近理想的基于oracle的预测器可能实现的14.2%的性能提高。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号